题目11:基于FPGA的自动售货机外观设计设计实现

基于FPGA的自动售货机_图文_百度文库
赠送免券下载特权
10W篇文档免费专享
部分付费文档8折起
每天抽奖多种福利
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
基于FPGA的自动售货机
阅读已结束,下载本文需要
想免费下载本文?
定制HR最喜欢的简历
下载文档到电脑,同时保存到云知识,更方便管理
加入VIP
还剩15页未读,
定制HR最喜欢的简历
你可能喜欢自动售货机控制器的设计与实现--《福建电脑》2015年11期
自动售货机控制器的设计与实现
【摘要】:自动售货机是一件常见的设备,但目前多采用单片机设计,常存在功能单一、性能不稳定等问题。本文介绍基于FPGA的自动售货机控制器的设计方法,以Quartus II为软件开发平台,经过仿真验证可以实现选择四种商品、两种投币方式的识别、购货撤销与找零等功能,并下载至AX301型号开发板中验证结果正确且性能稳定。
【作者单位】:
【分类号】:TH692.62
欢迎:、、)
支持CAJ、PDF文件格式,仅支持PDF格式
【参考文献】
中国期刊全文数据库
周泽鹏;金瓯;;[J];单片机与嵌入式系统应用;2009年03期
余攀峰;周天文;;[J];工业控制计算机;2011年01期
刘明扬;孙丹丹;魏学军;;[J];实验室研究与探索;2014年11期
陆继远;;[J];微计算机信息;2011年08期
【共引文献】
中国期刊全文数据库
伍麟珺;;[J];电子与电脑;2010年03期
宋秀萍;龚猷龙;;[J];电子科技;2015年02期
卞永明;竺仁杰;方晓骏;;[J];流体传动与控制;2014年02期
苏旭;李保国;;[J];轻工机械;2015年03期
景东男;韩建民;王爱华;;[J];计算机技术与发展;2013年05期
朱娟;刘于;喻船丽;;[J];中华护理杂志;2015年06期
中国重要会议论文全文数据库
于清晓;;[A];2014第九届中国智能交通年会大会论文集[C];2014年
中国博士学位论文全文数据库
钱思佑;[D];中国科学技术大学;2010年
中国硕士学位论文全文数据库
明小娜;[D];南京师范大学;2011年
孙琦;[D];哈尔滨工业大学;2010年
郭秀秀;[D];苏州大学;2010年
【二级参考文献】
中国期刊全文数据库
王晓峰;[J];长春大学学报;2005年04期
吴华程;张新政;袁从贵;;[J];单片机与嵌入式系统应用;2006年04期
刘家庆;;[J];电子技术;2010年11期
牟洪江;杨虹;王玲;;[J];电子世界;2013年19期
付梦婷;付永庆;;[J];电子器件;2013年05期
蒋卫星;金瓯;;[J];计算机与数字工程;2007年02期
季志均,马文丽,陈虎,郑文岭;[J];计算机应用研究;2005年09期
唐勇;张欣;周明天;;[J];计算机应用研究;2006年09期
张友木;;[J];山西电子技术;2011年01期
徐伟;刘建成;行鸿彦;;[J];实验技术与管理;2011年10期
【相似文献】
中国期刊全文数据库
王莹;;[J];现代营销(创富信息版);2005年06期
卢振;;[J];信息与电脑;2006年02期
余世明;晁岳磊;缪仁将;;[J];中国工程科学;2008年07期
刘芳,魏丽丽;[J];科学与管理;1997年04期
黄祯翔;[J];发明与革新;1998年02期
林芳;;[J];当代工人;2007年13期
郑大宇,刘立晶;[J];黑龙江商学院学报(自然科学版);2000年03期
青华;;[J];电器制造商;2001年11期
勾宏图,王全召,郭建香;[J];河南机电高等专科学校学报;2002年02期
邹建新;张士军;赵小红;;[J];电气自动化;2003年03期
中国重要会议论文全文数据库
陈萌;叶桦;达飞鹏;;[A];第七届全国信息隐藏暨多媒体信息安全学术大会论文集[C];2007年
曹赟;叶桦;;[A];2009年中国智能自动化会议论文集(第三分册)[C];2009年
中国重要报纸全文数据库
张雪峰;[N];重庆日报;2003年
姚意克;[N];国际商报;2005年
本报记者 孙覆海 通讯员 劳周;[N];工人日报;2004年
陈根源;[N];金融时报;2001年
陈根源;[N];金融时报;2002年
FN记者 谢利;[N];金融时报;2005年
魏有景;[N];南昌日报;2005年
秦宵喊;[N];南京日报;2004年
王晓征;[N];青岛日报;2005年
冯海明;[N];市场报;2004年
中国硕士学位论文全文数据库
金志华;[D];浙江工业大学;2009年
张建龙;[D];浙江工业大学;2009年
陈洪涛;[D];大连理工大学;2005年
柳赛虎;[D];西南交通大学;2006年
陈芳;[D];中南大学;2008年
何益波;[D];中南大学;2009年
王建宏;[D];北京邮电大学;2010年
汤金瓯;[D];浙江工业大学;2009年
罗信;[D];中南大学;2010年
王荣新;[D];大连理工大学;2012年
&快捷付款方式
&订购知网充值卡
400-819-9993&& 文章内容
基于FPGA的自动售货机设计
[日期:] & 来源:28毕业论文网& 作者:28毕业论文网 & 阅读:249次[字体:
温馨提示:需要完整全套毕业设计论文请联系本站客服、专业代做、原创、包修改、直到通过为止
毕业设计(论文)开题报告 设计(论文)题目: 基于FPGA的自动售货机设计 开题报告填写要求 1.开题报告(含“文献综述”)作为毕业设计(论文)答辩委员会对学生答辩资格审查的依据材料之一。此报告应在指导教师指导下,由学生在毕业设计(论文)工作前期内完成,经指导教师签署意见及所在专业审查后生效; 2.开题报告内容必须用黑墨水笔工整书写或按教务处统一设计的电子文档标准格式(可从教务处网页上下载)打印,禁止打印在其它纸上后剪贴,完成后应及时交给指导教师签署意见; 3.“文献综述”应按论文的格式成文,并直接书写(或打印)在本开题报告第一栏目内,学生写文献综述的参考文献应不少于15篇(不包括辞典、手册); 4.有关年月日等日期的填写,应当按照国标GB/T 《数据元和交换格式、信息交换、日期和时间表示法》规定的要求,一律用阿拉伯数字书写。如“日”或“”。 毕 业 设 计(论 文)开 题 报 告 1.结合毕业设计(论文)课题情况,根据所查阅的文献资料,每人撰写 2000字左右的文献综述: 文 献 综 述 一.课题研究的背景及其意义 随着EDA技术的高速发展,电子系统的设计技术发生了深刻的变化,现场可编程门阵列FPGA的出现,给设计人员带来了诸多方便,使用其进行产品开发,成本低、周期短、可靠性高。本课题以FPGA芯片为核心,使用VHDL语言编写代码,实现自动售货机设计。自动售货机可以根据所选商品,投入钱币的金额自动出货并找付余额的自动付货的机器,是商业自动化的常用设备,不受时间、地点的限制,可以节省人力,交易方便,是一种全新的商业零售形式,又被称为24小时营业的微型超市,应用前景广阔。 二.自动售货机的发展和研究现状 自动售货机是一种全新的商业零售形式,20世纪70年代自日本和欧美发展起来。它又被称为24小时营业的微型超市。在日本,70%的罐装饮料是通过自动售货机售出的。全球著名饮料商可口可乐公司在全世界就布有50万台饮料自动售货机。 在日本全国各地,共设有550万台自动售货机(据1998年的统计),销售额达6兆万日元,为世界第一。在售货机的显示屏幕上进行操作,输入商品号码和购买数量,并投入钱币后,商品就会从取货口出来,甚至从食品自动售货机上还能买到热呼呼的面条和米饭团。虽然日本的自动售货机总台数低于美国(据1997年的统计为689万台),但是,从人口占有数来看却是世界上最高的,美国平均35人占有一台,而日本为23人占有一台。 现在,自动售货机产业正在走向信息化并进一步实现合理化。例如实行联机方式,通过电话线路将自动售货机内的库存信息及时地传送各营业点的电脑中,从而确保了商品的发送、补充以及商品选定的顺利进行。并且,为防止地球暖化,自动售货机的开发致力于能源的节省,节能型清凉饮料自动售货机成为该行业的主流。在夏季电力消费高峰时,这种机型的自动售货机即使在关掉冷却器的状况下也能保持低温,与以往的自动售货机相比,它能够节约10-15%的电力。进入21世纪时,自动售货机也将进一步向节省资源和能源以及高功能化的方向发展。 三、FPGA技术的优势技术的优势技术的优势技术的优势 FPGA采用了逻辑单元阵列LCA(Logic Cell Array)这样一个新概念,内部包括可配置逻辑模块CLB(Configurable Logic Block)、输出输入模块IOB(Input Output Block)和内部连线(Interconnect)三个部分。FPGA的基本特点主要有: (1) 采用FPGA设计ASIC电路,用户不需要投片生产,就能得到合用的芯片。 (2) FPGA可做其它全定制或半定制ASIC电路的中试样片。 (3) FPGA内部有丰富的触发器和I/O引脚。 (4) FPGA是ASIC电路中设计周期最短、开发费用最低、风险最小的器件之一。 (5) FPGA采用高速CHMOS工艺,功耗低,可以与CMOS、TTL电平兼容。 可以说,FPGA芯片是小批量系统提高系统集成度、可靠性的最佳选择之一。 FPGA是由存放在片内RAM中的程序来设置其工作状态的,因此,工作时需要对片内的RAM进行编程。用户可以根据不同的配置模式,采用不同的编程方式。加电时,FPGA芯片将EPROM中数据读入片内编程RAM中,配置完成后,FPGA进入工作状态。掉电后,FPGA恢复成白片,内部逻辑关系消失,因此,FPGA能够反复使用。FPGA的编程无须专用的FPGA编程器,只须用通用的EPROM、PROM编程器即可。当需要修改FPGA功能时,只需换一片EPROM即可。这样,同一片FPGA,不同的编程数据,可以产生不同的电路功能。因此,FPGA的使用非常灵活]7[。 FPGA有多种配置模式:并行主模式为一片FPGA加一片EPROM的方式;主从模式可以支持一片PROM编程多片FPGA;串行模式可以采用串行PROM编程FPGA;外设模式可以将FPGA作为微处理器的外设,由微处理器对其编程。 四、参考文献参考文献参考文献参考文献 [1]袁亚恒,周伟. 基于VHDL的自动售货机设计[J].武汉理工大学学报,信息管理工程版.2007 [2]范文萍,吴定学.基于FPGA的自动售货机主控制系统的设计与实现[J].信息化纵横.2009 [3]焦素敏.EDA应用技术[M].北京:清华大学出版社.2005 [4]潘松,黄继业.EDA技术实验教程[M].北京:科学出版社.2002 [5]张霞.VHDL在现代EDA中的应用[J].北京:现代电子技术.2001 [6]宋萧,李佩佩,韩莹莹.自动售货机控制设计[J].科技信息,机械与电子.2009 [7]陈志刚.VHDL语言在电路设计中的优化[J].电子测试.2008 [8]卢毅、赖杰.VHDL与数字电路设计[M].北京:科学出版社,2001 [9]赖义汉.自动化技术与应用――自动售货机控制系统VHDL有限状态机实现[M].2006 [10]姜雪松,吴钰辏跤.VHDL设计实例与仿真[M].北京:机械工业出版社.2006 [11]王雯隽. 基于Verilog-HDL自动售货机的设计与实现[J].微型电脑应用.2005 [12]徐光军,徐光辉.CPLD/FPGA的开发应用[M].北京:电子工业出版社,2002 [13]张凯,林伟.VHDL实例剖析[M].北京:国防工业出版社.2004 [14]周润雅等.基于QuartusⅡ的FPGA/CPLD数字系统设计实例[M].北京:电子工业出版社.2006 [15]Adec Inc.Henderson.VHDL Language Reference Guide.NV USA.1999 [16] Altera Digital Library,Altera Corporation ,Altera,2002; [17] 陈怀琛等.MATLAB 及在电子信息课程中的应用[M] . 北京:电子工业出版社,2002. [18] 赵曙光.可编程逻辑器件原理、开发与应用[M] 西安:西安电子科技大学出版社, 2000. [19] 张庆等.程控信号源的数字调频设计.哈尔滨:电测与仪表。2001,(8):29―31. [20] 王彦,方艾,张清明.基于FPGA的数字波形发生器 《机械与电子》2004(6). 毕 业 设 计(论 文)开 题 报 告 2.根据课题要求及文献综述,给出本课题要研究或解决的问题和拟采用的研究手段(途径): 开 题 报 告 1.课题题目 基于FPGA的自动售货机设计 2.本课题的目的和意义 本课题的目的是通过基于FPGA的自动售货机的设计和制作,使学生学会运用模电、数电、VHDL语言和现场可编程门阵列等综合的知识,根据设计要求,完成电子系统设计和制作的能力。从而达到培养学生解决实际问题的能力。 3.研究或解决的问题及工作内容 1.查阅基于FPGA的自动售货机的相关文献资料,完成文献综述。要求综合15篇以上文献,符合文献综合撰写规范; 2.完成开题报告,要求符合开题报告撰写规范; 3.按照简易自动售货机的技术要求,选择方案,阐述方案工作原理; 4.按照课题技术要求设计自动售货机相关的软件程序,要求对相关软件程序加以必要说明; 5.仿真设计自动售货机。使用QUARTUSⅡ仿真软件,仿真结果要求:⑴设计非单一物品的自动售货机;⑵物品的单价,数量在初始化时输入;⑶钱币足够则出货并计算应找钱币余额、货品库存量并显示,不够则退钱; 6.撰写毕业设计(论文)说明书。要求符合毕业设计(论文)说明书撰写规范。 4.拟采用的研究方法 1.开发环境开发环境开发环境开发环境 开发平台:PC机 开发工具:PC机、FPGA平台、Quartus II。 1.2 2.研究课题的具体方案 2.1总体思想 自动售货机包括四个商品,依据四种商品分别设为四个按钮,A1,A3,A4,A8。当顾客选择其中的一种商品时,售货机发送出一个信号按钮不再生效,用一个LED灯显示。设置三个按钮:a1,a2来表示投入的硬币是1元、5毛。当顾客投入的硬币大于或等于售价时将不再接受硬币,用一个LED灯显示。当顾客确定某种商品且投入了足额的硬币,投币时限到时,投币窗口找零,用七段显示管显示找零数,同时送出商品,指示灯out亮。 2.2 控制状态的设计 此 售货机分为三种状态,每一个状态能完成一定得功能:初始状态,投币状态,找零状态。 (1)初始状态:当售货机通电后进入初始状态,顾客购买时,可根据选择xuan_rg,xuan_yl,xuan_hb,xuan_schb键购买商品,从而进入下一状态。当顾客购买错误或不再购买时按下start重新进入初始状态。 (2)投币状态:在这个状态时xuan_rg,xuan_yl,xuan_hb,xuan_schb键失效。投入钱(1元,5毛),当投入硬币等于或者超过商品价格时进入下一状态。投币时间为30秒超过30秒,售货机拒绝接受钱币。 (3)找零状态:投币结束,售货机自动判别总钱数,若在时限到来之际,总钱数少于顾客所需商品的单价,则退出钱币,用LED显示退钱数。若大于或等于商品数则通过控制系统找零,用LED显示找零数,送出商品。出货找零,表示一次工作已经结束,等待下一个信号到来,进行新的售货。 2.3设计流程图 2.4模块分类 此售货机模块包括:投币处理模块,商品选择模块,投币模块,分频模块,控制器模块,计时模块,LED灯显示模块,找零模块,出货模块,,如图所示: 1. 投币模块 自动售货机能够自动计算投入的钱币数目,需要提供一个寄存器来记录并保存投入的总币值。此模块有六个输入信号以及两个输出信号:qian1,qian2,qian5为不同数额钱币输入信号;en1为主控模块所发出的信号,当en1信号为1的时候,投币模块开始工作;reset为恢复初始信号,当reset信号为1 的时候投币模块不进行工作;en为给计时器的输出信号,当en为1的时候计时器开始工作;bi为输出的钱币数目。 2 .商品选择模块 自动售货机的商品选择模块,通过自动售货机上的四个选择按键完成商品选择,在接收到对应四个商品之一的一个选择信号时进行编译,将被选择的商品进行编码,输入到主控模块中,在主控模块中与所接受的钱币进行对与计算。输入信号为a1,a2,a3,a8。而xuan_rg,xuan_hb,xuan_yl,xuan_schb为四个输出信号,与出货模块连接。reset 与en2信号来自主控模块,当reset为1时模块进入初始状态,进行选择。当en2为1时,商品可以进行选择,当en2为0时,四个商品选择键无效。 3 .分频模块 分频模块是用来将外来的时钟信号进行分频,有硬件系统已经知道,选用的时钟信号是由外部的6MHZ的晶振提供的,通过分频模块进行分频以后将得到周期为1HZ的信号。由于仿真所需时间过长,本文选择100.0ns为一周期的信号,分频后为6.0us为一周期的信号,时钟输入信号为clk,输出信号为newclk。 4 .计数模块 由于课题要求自动售货机控制系统有定时系统,并限定在30s内完成投币,30s之后投币无效,因此需要设定一个计数模块对投币时间进行限制。en为接受信号,当投币开始时投币模块发出信号,计数模块开始工作,当计数到30时向控制模块发出t信号。Clr为控制器发送给计数模块的一个信号,当clr为1时,计数器归零。Clk为分频模块发送的时钟信号。 5 .出货模块 出货模块是自动售货机给出相关货物的信号,本课题要求货物为四个商品,因此出货模块有5个输入信号,xuan_rg,xuan_sb,xuan_yl,xuan_schb,为所购商品的输入信号,en为控制模块所给的信号当en=1时出货模块开始工作,然后对顾客所选择货物进行出货。 6 .显示模块 显示模块的作用是对购物结束后的所需找零数目进行显示。找零显示模块的输入端接收来自控制模块的输入信号,输出端外接LED显示灯,由于找零的数目为范围为0至8,因此只需要一个LED显示灯,输出信号为a,b,c,d,e,f,g。 7 .主控模块 主控模块为自动售货机控制系统的核心部分,在主控模块中将输入的钱币数目(b)与商品的价格(d)进行对比,然后进行找零并发出出货信号。输入信号t为计时信号,当时间超过30s时,商品不再接受货币。Clk为控制系统的时钟信号。输出信号di,c分别为找零显示模块的输入信号与找零信号。en为控制出货模块的信号,当en值为1时,确认出货模块可以输出商品。Start为复位信号,当start值为1时,自动售货机回到初始状态。Clr为计数模块的控制信号,当收货完成后,clr输出为1,计数模块回到初始状态归零。En1与en2分别为投币模块与商品选择模块的控制信号,当en1为0时,投币模块不工作,当en2为0时商品选择模块不工作。 最后在KHF-1型FPGA实验开发系统中进行硬件测试。 三、课题设计工作进度计划 ― ― ― ― ― 查阅课题相关文献资料,分析清楚系统功能,完成开题报告和英文资料翻译。 根 据要求确定系统实施方案,设计具体的电路;完成系统的电路设计、程序设计;同时撰写毕业论文初稿。 完 成程序调试、测试工作; 完成论文大纲、毕业论文(修改两稿); 完成系统使用说明书。 完 成答辩准备(含答辩PPT的制作),进行毕业设计答辩 提交毕业设计论文及各种材料(打印稿、电子稿)。 完成毕业设计全套材料(含电子稿)提交工作 毕 业 设 计(论 文)开 题 报 告 指导教师意见: 1.对“文献综述”的评语: 2.对“开题报告”(课题的深度、广度及工作量)的评语和对设计(论文)结果的预测: 成 绩 指导教师 年 月 日 所在专业审查意见: 负责人: 年 月 日
??@版权所有:28毕业论文网豆丁微信公众号
君,已阅读到文档的结尾了呢~~
扫扫二维码,随身浏览文档
手机或平板扫扫即可继续访问
基于FPGA的自动售货机控制系统设计
举报该文档为侵权文档。
举报该文档含有违规或不良信息。
反馈该文档无法正常浏览。
举报该文档为重复文档。
推荐理由:
将文档分享至:
分享完整地址
文档地址:
粘贴到BBS或博客
flash地址:
支持嵌入FLASH地址的网站使用
html代码:
&embed src='http://www.docin.com/DocinViewer-4.swf' width='100%' height='600' type=application/x-shockwave-flash ALLOWFULLSCREEN='true' ALLOWSCRIPTACCESS='always'&&/embed&
450px*300px480px*400px650px*490px
支持嵌入HTML代码的网站使用
您的内容已经提交成功
您所提交的内容需要审核后才能发布,请您等待!
3秒自动关闭窗口豆丁微信公众号
君,已阅读到文档的结尾了呢~~
基于FPGA的模拟自动售货机设计
扫扫二维码,随身浏览文档
手机或平板扫扫即可继续访问
基于FPGA的模拟自动售货机设计
举报该文档为侵权文档。
举报该文档含有违规或不良信息。
反馈该文档无法正常浏览。
举报该文档为重复文档。
推荐理由:
将文档分享至:
分享完整地址
文档地址:
粘贴到BBS或博客
flash地址:
支持嵌入FLASH地址的网站使用
html代码:
&embed src='http://www.docin.com/DocinViewer-4.swf' width='100%' height='600' type=application/x-shockwave-flash ALLOWFULLSCREEN='true' ALLOWSCRIPTACCESS='always'&&/embed&
450px*300px480px*400px650px*490px
支持嵌入HTML代码的网站使用
您的内容已经提交成功
您所提交的内容需要审核后才能发布,请您等待!
3秒自动关闭窗口

我要回帖

更多关于 自动售货机设计方案 的文章

 

随机推荐