Error (10500): VHDL syntax error at dianzhen.vhd(70) near text "process"; expecting "

我要回帖

 

随机推荐