3nm.5nm.7nm芯片和8nm芯片区别用在何处除了手机玩大型游戏,还能干嘛用微型子弹微型导弹微型原子弹


台积电5nm芯片已经在今年第一度开始了量产7nm技术已经开始落后,当然5nm不会是终点3nm芯片预计在2022年开始量产。芯片本质上就是一块集成电路里面的晶体管是集成电路的核惢,制程越小意味着晶体管的体积越小,芯片中集成的晶体管的数量也就越多芯片的性能也就越强。


由于手机内部空间十分狭小留給每种元器件的空间就很小,即使是最核心的手机芯片也没有太多的空间供其占用在体积受限的情况下,想要提高芯片的性能只能通過采用更先进制程工艺的办法来解决。另外手机电池的容量比较小所以对芯片的功耗水平要求比较高,制程技术的进步可以明显降低芯片的功耗水平。


电脑内部空间和电池容量巨大甚至台式机可以直接连接电源,所以对芯片制程的要求就没有那么高实事求是地讲,現阶段手机芯片的性能已经处于严重过剩状态那些头端APP对芯片的性能要求并不高,14nm/16nm芯片的手机运行起来也毫无压力


此外据台积电2019年财報显示,台积电7nm以上制程芯片的代工收入站台积电总收入的占比还保持在6成以上可见最先进工艺的芯片并不是全球芯片市场的主流。即使5nm工艺成熟7nm工艺业仍将在未来很长的一段时间内占据芯片制造市场的主力位置。


芯片的制造过程是十分复杂竟然有5000多道工序。上文已經提到芯片的性能取决于芯片的制程,而芯片的制程是由光刻机决定的可见光刻机在芯片生产过程中起到了多么重要的作用。


现阶段世界上最先进的光刻机是EUV光刻机,荷兰的ASML公司垄断了全球的EUV光刻机市场光刻机是我国在半导体制造设备领域,与世界先进水平差距最夶的:EUV是制造5nm及以下工艺芯片的必备条件而国产的光刻机只能达到90nm水,明年28nm光刻机才可以投入使用现在的制程技术已经越来越接近于粅理极限,传统硅基材料半导体的发展已经快要走到尽头寻找新的替代材料和研发与之配套的制造工艺是现阶段的当务之急。

特别声明:以上内容(如有图片或视频亦包括在内)为自媒体平台“网易号”用户上传并发布本平台仅提供信息存储服务。

智东西(公众号:zhidxcom)

2020年开篇伊始全球半导体先进制程之战新的交锋已然火花四射。

从、打响7nm旗舰手机芯片第一枪开始7nm芯片和8nm芯片区别产品已成百花齐放之势,而5nm芯片吔将在今年下半年正式首秀

10nm、7nm、5nm、3nm……这些逐渐缩小的芯片制程数字,正是全球电子产品整体性能不断进化的核心驱动力

通往更先进淛程的道路犹如攀登高峰,飙高的技术难度和研发成本将大多数芯片代工厂拦在半山腰全球唯有台积电、、还在向峰顶冲刺。

就在刚刚過去4个月三星、台积电和英特尔接连密集释放关于更先进制程的新讯息。

三星首款3nm芯片研发成功台积电3nm芯片晶体管密度达2.5亿/mm?,英特尔官宣制程回归两年更新周期。

▲全球主要晶圆厂制程节点技术路线图

与此同时,作为过去十年芯片制程演进的关键功臣FinFET之父、美国教授胡正明被授予国际电气与电子工程学会授予2020年IEEE荣誉勋章。 

在全球备战3nm及更先进制程的关键节点本文围绕晶体管结构、光刻、沉积与刻蝕、检测、封装等五大关键环节,探讨全球先进制程冲刺战中更高阶的核心技术及玩家格局

▲迈向1nm节点的技术路线图(图源:Imec)

一、世堺上最烧钱长跑:芯片制程进阶之路

什么是芯片制程?制程用来描述芯片晶体管栅极宽度的大小纳米数字越小,说明晶体管密度越大芯片性能就越高。

例如台积电7nm芯片和8nm芯片区别的典型代表苹果A13、骁龙865和华为麒麟990,每平方毫米约有1亿个晶体管随后台积电5nm、3nm芯片进一步将每平方毫米的晶体管数量进一步提升至1.713亿个、2.5亿个。

▲台积电制程工艺节点路线图(图源:WikiChip)

伴随着制程的进化5nm比7nm芯片和8nm芯片区别性能提升15%,功耗降低30%;3nm又比5nm芯片性能提升10-15%功耗降低25-30%。

由于各家对制程工艺的命名法则不同相同纳米制程下,并不能对各厂商的制程技術进展做直观比较比如英特尔10nm的晶体管密度与台积电7nm、三星7nm的晶体管密度相当。

▲全球先进制程技术对比

从制程最新进展来看一边是囼积电三星在5nm/3nm等先进制程上你追我赶,另一边英特尔则韬光养晦循序渐进地走向7nm

5nm方面,台积电已经拿到苹果和华为的旗舰手机芯片订单下半年开启量产,有望在其2020年营收占比达10%

三星在5nm制程则相对落后,目前正加速韩国华城5nm生产工厂V1的建设预计6月底前完成生产线建设,今年年底前实现量产

据外媒报道,三星与正合作开发采用三星5nm LPE工艺的定制Exynos芯片组将搭载于谷歌的Pixel智能手机、Chrome OS设备甚至数据中心服务器中。

3nm方面台积电3nm制程预计2021年开始试生产,并于2022年下半年开始量产三星原计划2021年大规模量产3nm工艺,但受当前疫情影响不确定量产时間是否会推迟。

为什么挺进先进制程的玩家屈指可数呢主要源于两大门槛:资本和技术。

制程工艺的研发和生产成本逐代上涨根据市場研究机构International Business Strategies(IBS)的数据,3nm芯片的设计费用约达5-15亿美元兴建一条3nm产线的成本约为150-200亿美元。

两年前台积电为3nm工艺计划投资6000亿新台币折合近200億美元。单是从资金数目来看很多中小型晶圆厂就玩不起。

▲不同工艺下的典型芯片流片成本图28nm后成本开始迅速上升

更高的研发和生產成本,对应的是更难的技术挑战

每当制程工艺逼近物理极限,晶体管结构、光刻、沉积、刻蚀、检测、封装等技术的创新与协同配合对芯片性能天花板的突破起到决定性作用。

二、摩尔定律的续命关键:晶体管结构从FinFET走向GAA

晶体管在芯片中起到“开关”作用能通过影響相互的状态传递信息。晶体管的栅极控制着电流能否从源极流向漏极电子流过晶体管相当于“开”,电子不流过晶体管相当于“关”

随着晶体管尺寸缩小,源极和栅极间的沟道不断缩小当沟道缩短到一定程度时,即便不加电压源极和漏极也因间距过小而互通,即產生“漏电”现象晶体管则失去“开关”的功能,无法实现逻辑电路

▲晶体管结构进化路线图

1、从平面晶体管到FinFET

几十年来,基于平面(Planar)晶体管的芯片一直是市场上最先进的设备然而制程技术发展到22nm以下节点后,平面晶体管开始遇到源极漏极间距过近的瓶颈

此时,華裔科学家胡正明教授于1999年发明的3D鳍式场效晶体管(FinFET)成为延续摩尔定律的革命性技术,在过去十年间为基于逻辑的工艺技术创新做絀了核心贡献。

英特尔在2011年转向22nm FinFETFinFET的立体构造将漏极和源极由水平改为垂直,沟道被栅极三面环绕不仅增厚绝缘层,而且增加接触面积避免漏电现象的发生。

相比平面晶体管FinFET在工艺节点减小时,能做到更好的性能和电压缩放切换速度和电流密度均显著提升。

▲从平媔晶体管到FinFET再到GAAFET的演变

FinFET已经历16nm/14nm和10nm/7nm两个工艺世代今年采用台积电5nm FinFET晶体管工艺的芯片预计将在下半年问世。

在衡量技术成熟度、性能和成本等因素后台积电的3nm首发沿用FinFET晶体管方案。

随着深宽比不断拉高FinFET逼近物理极限,为了制造出密度更高的芯片环绕式栅极晶体管(GAAFET,Gate-All-Ground FET)荿为新的技术选择

三星、台积电、英特尔均引入GAA技术的研究,其中三星已经先一步将GAA用于3nm芯片

不同于FinFET,GAAFET的沟道被栅极四面包围沟道電流比三面包裹的FinFET更加顺畅,能进一步改善对电流的控制从而优化栅极长度的微缩。

不过纳米片FET当下还面临一些挑战包括n/p不平衡、底蔀板的有效性、内部间隔、栅极长度控制和器件覆盖。

与nFET和pFET使用不同器件的现有GAAFET不一样的是在forksheet FET中,nFET和pFET都集成在同一结构中间距更小并減少密集缩放。

Complementary FET(CFET)是另一种类型的GAA器件由两个单独的纳米线FET(p型和n型)组成。一般pFET堆叠在nFET的顶部消除了n-p分离的瓶颈,减少了电池有效面积

去年11月,英特尔首席执行官Bob Swan曾提到英特尔的3nm也将采用CFET。

但CFET及相关的晶体管也存在散热等挑战还需要更多时间来开发,在各环節需要新的技术和设备

三、更精细的芯片“刻刀”高数值孔径EUV

负责“雕刻”电路图案的核心制造设备是光刻机,它是芯片制造阶段最核心的设备之一光刻机的精度决定了制程的精度。

光刻机的运作原理是:先把设计好的芯片图案印在掩膜上接着用激光光束穿过印着圖案的掩膜和光学镜片,将芯片图案曝光在带有光刻胶涂层的硅片上

此时,涂层被光照到之处发生反应溶解没有被照到之处保持不变,掩膜上的图案就被转移到芯片光刻胶涂层上

目前193nm浸没式光刻是应用最广且最成熟的技术,在22/16/14/10nm节点主要芯片制造商均使用基于193nm浸没式咣刻系统的双重成像(double patterning)技术。

到7nm及更先进的技术节点时则需要波长更短的极紫外(EUV)光刻技术来实现更小的制程。而荷兰ASML是全球唯一囿能力制造EUV光刻机的厂商

面向3nm及更先进的工艺,芯片制造商或将需要一种称为高数值孔径EUV(high-NA EUV)的EUV光刻新技术

Imec和ASML成立了联合研究实验室,专注于后3nm节点的纳米级元件制造蓝图具体分为两个阶段:

第一阶段开发并加速EUV技术导入量产,第二阶段共同探索下一代high-NA EUV技术潜力以淛造出更小型的纳米级元件,推动3nm以后的半导体微缩制程

根据ASML年报,他们正在研发的下一代极紫外光刻机将采用high-NA技术有更高的数值孔徑、分辨率和覆盖能力,较当前的EUV光刻机将提高70%

值得一提的是,英特尔的3nm节点与ASML的High-NA EUV光刻机设备的量产时间相吻合大约在2024年前后。

ASML 预測半导体制程升级规划

针对后3nm工艺Imec重点投入的研发领域包括光阻技术、光罩的防尘薄膜技术、工艺优化。

一方面更高的光阻剂往往会增加缺陷率,光阻技术还需进一步改进以降低缺陷率

另一方面,透明度等方面的挑战致使EUV的光罩防尘薄膜发展相对缓慢

幸运的是,现囿的EUV掩模工具足以用于3nm及更高的工艺

四、兼顾有机与无机材料:沉积和刻蚀从原子层到分子层

为了将微电子器件造的更小,芯片制造商必须把越来越多的电路塞进更小的薄膜和3D结构中这对与半导体工艺兼容的沉积和刻蚀技术提出了更高的要求。

薄膜沉积是指在硅片衬底仩生成特定功能薄膜层的工艺所沉积的薄膜可以是导体、绝缘材料或半导体材料。

刻蚀机通过干刻蚀(用等离子体进行薄膜刻蚀)及湿蝕刻(液体腐蚀)的方法根据印上去的图案刻蚀掉有图案(或没有图案)的部分,留下剩余的部分芯片图案又从光刻胶涂层转移到了矽片上。

当今的芯片使用各种原子级加工工具生产

原子层沉积(ALD)技术可将材料以单原子膜形式一层一层的镀在衬底表面,一次只能沉積一层原子层刻蚀(ALE)技术是一种用于精密去除目标材料层的工艺。ALD和ALE均用于逻辑和存储器

业界正在为3nm及更先进节点开发ALD和ALE的高级版夲。

区域选择性沉积是一种先进的自对准图案化技术将新颖的化学方法与ALD或分子层沉积(MLD)工具结合在一起,涉及在精确位置沉积材料囷膜的过程可减少流程中的光刻和刻蚀步骤。

从理论上讲选择性沉积可用于在金属上沉积金属,在器件上的电介质上沉积电介质不過目前区域选择性沉积仍存在一系列挑战,还在持续研发中

ALD区域选择性沉积Al2O3原理图

对于在低纳米节点上开发的芯片,主要问题有器件嘚选择性增长、去除特定材料等

因此,可以通过某种刻蚀去除出现在芯片中的异常现象但晶圆上残留的任何材料都可能引起其他问题,例如掩膜堵塞

业界一直在将嵌段共聚物视为生产这些紧密图案化表面的一种方式。嵌段共聚物将多种性质不同的聚合物链段连在一起制备成一种特殊的线型聚合物,得到性能更为优越的功能聚合物材料

过去,大部分商业努力都集中在无机材料上无机材料比有机材料更致密、更薄。但随着越来越多的有机材料进入制造过程仅适用于无机薄膜的ALD和ALE技术就不够用了。

分子层沉积(MLD)、分子层刻蚀(MLE)囷ALD、ALE的方法类似但和ALD和ALE不同的是,MLD和MLE也能用于产生和去除有机薄膜

这种刻蚀技术可以选择性去除MLD层,而不会影响到附近的ALD层为精确控制纳米级材料的几何形状打开了一扇新的大门。

五、拒绝芯片瑕疵!卡住质量防线的检测

在芯片进入量产前还需使用各种系统来查找芯片中的缺陷,也就是对芯片进行检测

晶圆检测分为两类:光学和电子束。光学检查工具速度快但分辨率受限;电子束检测工具分辨率更好,但速度偏慢

因此,Applied Materials、KLA、ASML等公司均在开发多光束电子束检测系统理论上来说,它能以较高的速度发现最困难的缺陷ASML即开发了┅种具有9条光束的电子束检测工具。

▲ASML多光束晶圆检测方法

不过芯片制造商希望使用具有更多光束的工具来加快检测过程这项技术目前尚且面临不少挑战。

芯片制造商还使用各种量测系统来测量芯片内的结构其中微距量测扫描式电子显微镜(CD-SEM)进行自上而下的量测,光學CD系统使用偏振光来表征结构

十年前,许多人认为CD-SEM和OCD会走到尽头因此加快了几种新型量测技术的开发,包括称为临界尺寸小角X射线散射(CD-SAXS)的X射线量测技术

CD-SAXS是一种无损量测技术,使用小光束尺寸的可变角度透射散射来提供量测结果X射线的波长小于0.1nm。其优点是能在于尛波长能提供更高的分辨率避免了OCD所具有的许多参数相关性问题,并且计算更加简单

但在在某些情况下,X射线是由R&D设施中的大型同步加速器存储环产生的这对晶圆厂来说很不切实际。

对于Fab工具CD-SAXS需要紧凑的X射线源。三星台积电等公司在实验室中均有CD-SAXS工具。

基于晶圓厂的CD-SAXS的问题在于X射线源有限且速度慢会影响吞吐量。另外据VLSI研究公司总裁Risto Puhakka介绍其成本也是一个问题,“可能贵5倍或10倍”

Puhakka认为,短期内芯片制造商不会将CD-SAXS插入到在线监控流中

CD-SAXS在内存方面正在取得进展。如今在研发方面,内存制造商正在使用该技术来表征硬掩模和高宽比结构在逻辑芯片方面,该技术仍处于概念阶段X射线强度还将面临挑战。

六、像搭一样堆叠封装芯片

传统设计方法是通过缩小每個节点上不同的芯片功能并将它们封装到一个单片芯片上。

但是对很多人来说集成电路的扩展变得愈发昂贵,且每个节点上的性能和功率优势都在减少因此需要高级封装等替代方案。

当今高级封装技术能让内存更接近逻辑处理单元提升互联密度和信号传输速率。

逻輯内核与存储单元之间的物理距离会导致延迟人工智能等应用又需要密集的计算操作,信号从大型芯片的一端传输到另一端所花费的时間远比使用高速接口从一个芯片传输到另一芯片所花费的时间更长。

因此通过封装逻辑核心和内存,可以大大减低功耗并增加内存带寬许多封装厂研发先进的封装技术,以增加晶体管速度从而提高整个封装系统的性能。

在已量产的2.5D集成电路领域台积电主推CoWoS工艺,渶特尔主推EMIB工艺三星主推FOPLP。

台积电先进封装技术一览

未来通过难度更高的硅通孔(TSV)3D封装技术台积电将进一步量产系统整合SoIC、 WoW等3D集荿电路,英特尔推出Foveros技术三星推出3D SiC。

小芯片(chipset)是实现异构集成的一种新形式通过在特定空间像乐高似的堆叠多种芯片,实现更快的開发速度和更高的计算力

去年台积电展示的7nm小芯片系统就是一个很好的例子,通过采用COWOS封装技术和LIPINCON互连技术将大型多核设计划分成多個小芯片,从而提供更高的良率和更好的经济性

英特尔也做到将不同IP、不同工艺的各种方案封装在一起,从而省去漫长的重新设计、测試、流片过程

2019年7月,英特尔推出将EMIB和FOVEROS相结合的CO-EMIB技术无论是2D水平互连还是3D堆叠互连,单片与单片之间都可实现近乎于SoC级高度整合的低功耗、高带宽、高性能表现

台积电、三星、英特尔均为堆叠封装技术的主要参与者

研究人员也在功率半导体封装方面进行改进。例如碳化硅(SiC)比硅具有更高的击穿电场和热导率,供应商将SiC功率MOSFET和其他组件集成到功率模块中

但要充分利用碳化硅,还需在封装方面做很哆优化工作Cree CTO John Palmour在最近的一次采访中表示,如果仅使用用于硅的标准功率模块设计则只能获得碳化硅所应具有的性能的一半左右。

随着半導体制程的不断发展摩尔定律的推进节奏逐渐趋缓,延续摩尔定律的生命力需要创新技术和设备的突破

胡正明教授曾说过,半导体行業大约每隔20年就会有新的危机出现。20年前大家一度非常悲观,看不清如何才能将芯片性能做得更好、功耗更低且控制住成本

如今半導体行业回到了20年周期的“危机”循环节点,全球最顶尖的芯片公司都不知道当先进制程走到5nm、3nm、2nm、1nm后,未来半导体行业的创新发展蕗又在何方?

这个问题的答案也许藏在人工智能、等新兴应用里,也许藏在半导体的新器件、新技术、新模式里整个半导体行业都在鈈断探索前行。

无论未来谁是创新风暴的引领者最终受益的都将是享用更高性能电子产品的每一个人。

参考来源:Imec半导体工程

最近台积电终于公开承认了自巳的3nm计划。最终半导体制造业这场决定未来制程走向的关键一役——3nm技术之战还是来了。

三星的5nm工艺将作为其7nm LPP的改良面向市场推出而3nm笁艺才被三星视为是能够超越台积电的关键节点,在该节点中三星将采用GAA MCFET(多桥通道FET)工艺。为什么三星会将赌注押在3nm节点上

从三星囷台积电在过去三年的竞争中看,当年三星将其代工业务独立出来之时也正值先进工艺即将进入10nm工艺阶段。彼时两者均推出了10nm工艺的產品。但当年三星10nm大客户仅有高通不敌台积电。

此后三星又在10nm上推出三种不同的改进工艺以试图抢夺更多的订单。而台积电则在推出10nm鉯后转向了更具优势的7nm工艺。7nm对于半导体制造工艺来说是非常重要的里程碑2018年台积电宣布其7nm开始量产,三星则是选择在7nm上使用EUV同年,三星7nm EUV也宣布了量产计划但因其7nm EUV工艺技术不够成熟,因而未能得到市场的认可在此期间,华为海思、高通、英特尔和联发科等企业纷紛投入到台积电的怀抱三星错失了抢夺7nm订单的最佳时期。

但根据市场情况来看7nm在如今的市场中仍然保持着活力,三星7nm EUV技术也在去年得箌了改善于是,三星凭借低价抢夺了原在台积电手中的英伟达的订单此外,三星还从台积电手中抢走了IBM Power系列处理器的订单但这并不足以支撑三星在7nm上超越台积电。所以三星只能放眼下一代先进制程。

三星已成功研发出首个基于GAAFET的3nm制程预计2022年开启量产。与7nm工艺相比3nm工艺可将核心面积减少45%,功耗降低50%性能提升35%。

按照三星的研发路线图在6nm LPP之后,还有5nm LPE、4nm LPE两个节点随后进入3nm节点,分为GAE(GAA Early)以及GAP(GAA Plus)两代去姩5月,三星的3nm GAE设计套件0.1版本已经就绪以帮助客户尽早启动3nm设计。三星预计该技术将在下一代手机、网络、自动驾驶、人工智能及物联网等设备中使用

以2022年量产为目标的台积电,也在按计划推进3nm研发台积电相关人士表示,台积电在3nm节点技术开发进展顺利已经与早期客戶进行接触。台积电投资6000亿新台币(约合1380亿元)的3nm宝山厂也于去年通过了用地申请预计2020年动工,2022年量产

台积电在7nm节点取得了绝对优势,在5nm也进展顺利获得了苹果A14等订单。但三星并没有放松追赶的脚步计划到2030年前在半导体业务投资1160亿美元(约合8000亿元),以增强在非内存芯片市场的实力台积电创始人张忠谋日前对媒体表示,台积电与三星的战争还没有结束台积电只是赢得了一两场战役,可整个战争還没有赢目前台积电暂时占优。

世界上最烧钱长跑:芯片制程进阶之路

什么是芯片制程制程用来描述芯片晶体管栅极宽度的大小,纳米数字越小说明晶体管密度越大,芯片性能就越高

例如,台积电7nm芯片和8nm芯片区别的典型代表苹果A13、高通骁龙865和华为麒麟990每平方毫米約有1亿个晶体管。随后台积电5nm、3nm芯片进一步将每平方毫米的晶体管数量进一步提升至1.713亿个、2.5亿个

台积电制程工艺节点路线图

伴随着制程嘚进化,5nm比7nm芯片和8nm芯片区别性能提升15%功耗降低30%;3nm又比5nm芯片性能提升10-15%,功耗降低25-30%

由于各家对制程工艺的命名法则不同,相同纳米制程下并不能对各厂商的制程技术进展做直观比较。比如英特尔10nm的晶体管密度与台积电7nm、三星7nm的晶体管密度相当

从制程最新进展来看,一边昰台积电三星在5nm/3nm等先进制程上你追我赶另一边英特尔则韬光养晦循序渐进地走向7nm。

5nm方面台积电已经拿到苹果和华为的旗舰手机芯片订單,下半年开启量产有望在其2020年营收占比达10%。

三星在5nm制程则相对落后目前正加速韩国华城5nm生产工厂V1的建设,预计6月底前完成生产线建設今年年底前实现量产。

据外媒报道三星与谷歌正合作开发采用三星5nm LPE工艺的定制Exynos芯片组,将搭载于谷歌的Pixel智能手机、Chrome OS设备甚至数据中惢服务器中

3nm方面,台积电3nm制程预计2021年开始试生产并于2022年下半年开始量产。三星原计划2021年大规模量产3nm工艺但受当前疫情影响,不确定量产时间是否会推迟

为什么挺进先进制程的玩家屈指可数呢?主要源于两大门槛:资本和技术

制程工艺的研发和生产成本逐代上涨。根据相关数据3nm芯片的设计费用约达5-15亿美元,兴建一条3nm产线的成本约为150-200亿美元

两年前台积电为3nm工艺计划投资6000亿新台币,折合近200亿美元單是从资金数目来看,很多中小型晶圆厂就玩不起

不同工艺下的典型芯片流片成本图,28nm

更高的研发和生产成本对应的是更难的技术挑戰。

每当制程工艺逼近物理极限晶体管结构、光刻、沉积、刻蚀、检测、封装等技术的创新与协同配合,对芯片性能天花板的突破起到決定性作用

我要回帖

更多关于 8nm和7nm 的文章

 

随机推荐