我用vip.ktwind.commod放哪里

贴吧网友推荐:在星际2中玩星际1拓展MOD(二)
除此以外,喜欢星际1的玩家大多早已成家立业,星际早已成为一种信仰、友情的延续以及疲劳之余娱乐的方式了,紧张的天梯,快速的微操以及不再适合于他们,且也特有的机制如果不存在,也多多少少存在着一定的遗憾。因此这里,作者又制作了了更适合大龄玩家的扩展mod《星际2娱乐版》
本扩展mod的口号是:解放双手,娱乐至死!
(1)何为解放双手,就是取消繁琐的宏机制和训练操作,一键完成所需的所有操作,内容如下:
所有兵种的训练都是可以右键自动开启的,包括训练SCV、探针、陆战队员、狂热者等。这是虫族玩家要伤心了?不你们笑都来不及!为什么?因为只要你把2代虫后放在他6格的范围内,它是可以自动注卵的!另外为了增加游戏的快感,还增加了星空加速、矿骡和注卵等宏机制,且游戏开始所有玩家初始10个农民,够爽吧?让爆兵来的更猛烈些吧!
(2)何为娱乐至死,就是将2代的兵种加入了1代的游戏模式中,无论是星际1还是2的爱好者,都充分体验两代兵种在对战中激烈摩擦的火焰。
人族:增加了2代的劫掠者、寡妇雷以及火车双侠,并用医疗运输机替代了传统的运输机。
神族:增加了母舰核心(不能变母舰)、哨兵、先知、风暴、巨像并用会闪烁的龙骑士替代了食之无味弃之可惜的追猎。
虫族:增加了女王、蟑螂、宿主和感染。
此MOD一些特殊考虑和说明
1 没有加入折跃门和折跃机制,因为折跃机制使得神族进攻力量远远高于防守,不利于游戏的持久可玩性。
2 没有加入不朽,对机械化克制太明显,没有加入雷神,和哥利亚机器人重复。
3 飞蛇和蝎子重复,毒爆没有合适的位置和作用。
4 巨像的射程只能升级到8,与哥利亚机器人射程相同。
1 所有自动训练是可以开启和停止,同一个建筑不可以开启两个自动训练,否则只会造一种兵。
2 虫后自动注卵是可以关闭的。你可以积攒能量用于进攻。
3 所有二代兵种目前暂不享受攻防加成,为了游戏平衡性。
最后介绍一下游戏方法,和所有MOD的游戏方法一样。
在自定义游戏——浏览地图——任意点击一张你喜爱的地图————点击右下角的按钮“使用自定义mod来创建游戏”
如果想玩经典的星际1,可以搜索”星际1完美版“,如果想玩娱乐版的星际12混战,请搜索“星际1娱乐版”,然后点击创建游戏即可。两个MOD合用一个内置文件,约55M,所以如果想体验,请耐心等待MOD下载完毕。
这个MOD原本是为了我和我的少年好友们一起体验游戏的乐趣的,独乐乐不如众乐乐,这里分享给大家。这里说星际1完美版并不是说这个MOD已经完美了,而是我完全可以根据你们的反馈意见让这个MOD更加完美。所以欢迎大家提宝贵意见。大家可以再MOD评论里面反馈,也可以加入QQ群 ,进行游戏体验反馈和约战,最后,祝大家玩的愉快!
(本文来源:
网易公司版权所有
用微信扫描二维码分享至好友和朋友圈ds1@global.biz? ?? ??? ??, ???, ???, ????? ? ???? ???.
Home & TRADE-IN LIST
For Sale List December 07, 2011
Acoustic Microscopes
- Sonix UHR 2001 Scanning Acoustic Microscope
- Sonoscan D-24 Scanning Acoustic Microscope
- Sonoscan D6000 Scanning Acoustic Microscope
- Sonoscan D9000 Scanning Acoustic Microscope
- Sonoscan SK230 Transducer, 230 MHz
- Axcelis / Fusion 200 PCU System, 8&
- Axcelis / Fusion 200 POLO PCU System, 8&
- Axcelis / Fusion-ES3 Stripper/Asher, 12&
- Axcelis / Fusion Gemini GES 202 Asher
- Axcelis RadiantStrip 320 Stripper/Asher, 12&
- Branson / IPC 2000T Barrel Asher
- Branson P2075 Barrel Asher
- Branson / IPC Reactor Center PM 11020 Plasma Cleaner&
- Branson P2100/3 Plasma Asher
- Branson S2100 Barrel Asher
- Branson / IPC 3000 Barrel Stripper / Etcher
- Branson / IPC S3003 Plasma Asher
- Branson / IPC 4055/2 Plasma Etcher
- Branson S-4100 Plasma Asher/Cleaner
- Branson 4150/2S Barrel Asher
- Fusion G03 Ozone Asher, 8&
- GaSonics Aura 1000 Photoresist Asher, 6&
- GaSonics Aura 2000-LL Asher, 8&
- GaSonics L-3510 Asher, 4& to 8&
- GaSonics PEP-3510A Asher, 8&
- GaSonics 9102 Plasma Asher, up to 8&
- Hitachi M501AWE Asher
- Matrix 104 Single Wafer Stripper, 6&
- Matrix 105 Single Wafer Stripper
- Mattson Aspen III Asher, 8& - for spares
- Ramco RAM-5 Asher&
- Ramco RAM-8500 II Dry Asher, 8&&
- Ramco RAM-8500z Dry Asher, 8&&
- Samco UV-300H UV-Ozone Stripper / Cleaner, up to 12&
- Tegal 400 Asher (Resist Stripper)
- Tegal Plasmaline 421 Asher (Resist Stripper)
- Tegal PlasMod Asher
- Tegal 965 Plasma Asher, up to 6&
- Tepla Auto 300 Asher, 4& to 6&, 2.45 GHz, 1000 Watts
- TePla 300 Plasma Asher, up to 6&
- TePla 400 Plasma Asher
- TePla 600 Plasma Asher, up to 6&
Assembly Equipment
- ASM Hummingbird, pre and post heater
- Avalon SST-A5 Singulation punching for BGA
- Benchmark GEN II SM-8000 Parallel Seam Sealer
- Creative Automation ADM 1812 Automatic Dispensing System
- Creative Automation 3700 Automatic Epoxy Dispenser
- Dias Automation FC-22 Auto Dispenser
- Dukane 410 Ultrasonic Welders&
- Fico TFT.M Press
- Intercon TO-220 Singulation Tool&
- PAC TECH LAPLACE Laser Assembly System
- Polaris Electronics Accu-Weld 5000 Seam Sealer
- Polaris Electronics Accu-Weld 5100AC Seam Sealer
- SSEC 1000 Parallel Sealing System
- Tyco APS 1 Advanced Placement System
- Tyco APS 1H Hybrid Module Assembly System
- Tyco 5 ton Press
- Tyco ASG BMEP-5T PressFit Manual Electric
- Tyco ASG MEP-12T Press
Board Inspection
- Agilent SJ50 AOI System
- Agilent SP50 Series 3 Solder Paste Inspection System
- Cabin FE-Line AOI System
- Cyclone JR210M Desktop AOI system
- CR Technology RTI 6500 AOI System
- Cyberoptics KS100 Mk2 AOI System
- Cyberoptics SE200 AOI System
- Cyberoptics SM200 AOI System
- GenRad GR-X7005 X-Ray-System&
- GlenBrook Jewelbox-90C X-Ray System
- Glenbrook RTX-113 VAV X-Ray System
- LIXI Workstation 50 X-Ray AOI System&
- MVP 1820 Auto Inspector AOI System
- Marantz M22X AOI System
- Marantz M22X-350 AOI System
- Marantz M22XFX-350 AOI System
- Marantz M22XFV-350 AOI System
- Marantz M22XFW-350 AOI System
- Marantz Nspec Fv18 AOI System
- Mirtec MV-5 AOI System
- Mirtec MV-6-SH AOI System
- Modus AOI System
- Nicolet NXR-1525 X-Ray Inspection Machine
- Nutek AOI System
- OptiCheck Inline AOI System
- Omron VT-RNS inline AOI System
- Omron VT-RNS-PTH AOI System
- Omron VT-RNS-PTH-L AOI System
- Omron VT-WIN I AOI System
- Omron VT-WIN II AOI System
- ON electric XS-2500 X-Ray Inspection System
- Orbotech Inspire 9000 AOI System
- Orbotech Inspire 9060 AOI System
- Orbotech Trion 2340XP AOI System
- Orbotech Trion HD-90 AOI System
- Phoenix X-Ray PCBA Analyser
- SAKI BF Planet X AOI System
- SAKI BF18D-P40 AOI System
- Shimadzu SMX-160E X-Ray System
- Sony CPC-1000 AOI System
- Teradyne Optima 7300 AOI System
- Unicomp AX7100L X-ray Inspection System
- View Engineering Pinnacle 250 Optical Metrology System
- Viscom S6055 II AOI System
- VI Technology VI-3000 AOI System
- VI-Technology VI-5000 AOI System
- YESTech M1m AOI System
- YESTech YTV 1000 AOI System
- YESTech YTV 2050 AOI System
- X-Tek Harrier Microfocus X-Ray Inspection System
Board Testers
- Acculogic Scorpion MDA-1000 Tester
- Acculogic Sprint 4510 Flying Probe Tester
- Agilent 5DX S2L Model 5100 X-Ray System
- Agilent 5DX Series II X-Ray System
- Agilent 5DX Series 5300 X-Ray System
- Agilent 5DX Series 5300 X-Ray Tube
- Agilent 5DX Series 5400 X-Ray System
- Agilent HP-3070 Series I Board Tester
- Agilent HP-3070 Series 2 Board Tester
- Agilent HP-3070 Series 2 4-Mod Board Tester
- Agilent HP-3070 Series 3 Board Tester
- Agilent HP-3070 Series 3 2-Mod Board Tester
- Agilent HP-3070 Series 3 4-Mod Board Tester
- Agilent HP-3173-II Board Tester
- Agilent Medalist i5000 In-Circuit Test System
- ATG / L&M 1500 Board Tester
- AutoPoint II Test Probing System
- Checksum Analyst ems In-Circuit Test System
- CR Technology CRX2000 X-Ray Inspection System
- Digitaltest MTS-300 Sigma Testsystem
- Fein Focus FXS-100.20 X-Ray System
- Fein Focus FXS-100.23 X-Ray System
- Fein Focus FXS-100.24 X-Ray System
- Fein Focus FXS-160.52 X-Ray System
- Fein Focus FXS-225.32 X-Ray System
- Geneva GR5000 Functional Test System
- Genrad S-20 Pilot Flying Probe Tester&
- GenRad GR-2751 Integration Tester
- GenRad GR-2280i Board Tester
- GenRad GR-2281i Board Tester
- GenRad GR-2282J Tester for parts
- GenRad GR-2284i Board Tester
- GenRad GR-2286i Board Tester
- GenRad GR-2287e Test System
- GenRad GR-2287L Test System
- GenRad GR-2286e Board Tester
- GenRad GR-2286i Board Tester
- GenRad GR-2287A Stinger Board Tester
- GenRad GR-2287i Board Tester
- GenRad GR-3000 Testsystem
- GenRad 128 UltraPin Board Tester
- Genrad TS87 Board Tester&
- Genrad TS87i Board Tester&
- GenRad TS128 Board Tester
- GenRad Viper Test System
- JOT Digital Test Condor 500 Flying Probe Tester
- Kyoritsu F-2220 In-Circuit Tester
- Mania Speedy MPP 4504 Board Tester
- Schlumberger 635, 645 and 700 testers - parts
- Schlumberger Factron S732 ICT Testsystem&
- Schlumberger Factron S790 VXI Testsystem
- Schlumberger Factron spares - please ask !
- Scorpion MDA1000 Board Tester
- Seica S-20 Flying Probe Tester&
- SPEA 4040 In-Line Flying Probe Tester&
- SPEA 505A Unitest Tester&
- SPEA U500ADP Tester&
- Takaya APT-7400CN Flying Probe Tester
- Takaya APT-8400 Flying Probe Tester
- Takaya APT-8400A Flying Probe Tester
- Takaya APT-8400A Flying Probe Tester - parts system
- Teradyne ESI-1004 Flying Probe Tester
- Teradyne Javelin 1004 Flying Probe Tester
- Teradyne L210 Board Tester - parts only
- Teradyne L210 spares - please ask !
- Teradyne L321 Board Tester
- Teradyne Spectrum 8852 Board Tester
- Teradyne Spectrum 8855 Board Tester
- Teradyne Spectrum 8862 Board Tester
- Teradyne Test Station LH Board Tester
- Teradyne TS121 Board Tester
- Teradyne TS124 Board Tester
- Teradyne TS128 Board Tester
- Teradyne TS128L Board Tester
- Teradyne TS84 Board Tester
- Teradyne Z1800 Board Tester
- Teradyne Z1803 plus Board Tester
- Teradyne Z1808 Board Tester - for spares
- Teradyne Z1820 Board Tester
- Teradyne Z1840 Board Tester
- Teradyne Z1860 Board Tester
- Teradyne Z1860VP Board Tester
- Teradyne Z1880-1 Board Tester
- Teradyne Z1880-2 Board Tester
- Teradyne Z1880-2 Board Tester - for spares
- Teradyne Z1888-2 Board Tester
- Teradyne Z1888-2 w/ Prism Board Tester
- Teradyne Z1890 Board Tester
- Teradyne Z1890VP-MS Board Tester
- Teradyne Z8500 Board Tester
- Testronics 401 Backplane Tester
- Alphasem 8032 Die Bonder
- Alphasem SC9002 Die Bonder
- Alphasem SL9002 Die Bonder
- Alphasem SL9022 Die Bonder
- Alphasem SL9006 Die Bonder
- Alphasem 9022 Die Bonder
- ASM AB-308A Wire Bonder
- ASM AB-309 Wire Bonder
- ASM AB-309A Wire Bonder
- ASM AB-339 Wire Bonder
- ASM AB-339 Eagle Wire Bonder
- ASM AB 356 Wire Bonder
- ASM AB-502 Aluminum Wire Wedge Bonder, setup for TO-39
- ASM AB-508A-06 Wire Bonder
- ASM AB-509 Wire Bonder
- ASM AB-509-06 Wire Bonder - parts machine
- ASM AB-509A Wire Bonder
- ASM AB-559 Wire Bonder
- ASM AB-559-06 Wire Bonder
- ASM AB-559A Wire Bonder
- ASM AB-809 Die Bonder
- ASM AD-809-06 Die Bonder
- ASM AD-809-08 Die Bonder
- ASM AB-809 IL08 Wire Bonder
- ASM AD-809C Die Bonder
- ASM AD-809S Die Bonder
- ASM AD-829 Die Bonder
- ASM AD-829A Die Bonder, 6&
- ASM AD-830 Die Bonder
- ASM AD-889 Die Bonder
- ASM AD-896 Die Bonder
- ASM AD-898 IL08 Die Bonder
- ASM AD-8930 Die Bonder
- ASM Eagle 60 Wire Bonder
- ASM Ihawk Extreme Wire Bonder
- ASM Twin Eagle Wire Bonder
- Canon Bestem D02 Die Bonder, 12&
- Canon Bestem D10SP Die Bonder
- Datacon APM 2200 Flip-Chip Die Bonder
- Datacon PPS 2200 Die Bonder, 2-head and 3-head
- Datacon PPS 2211 Multichip Die Bonder
- Datacon PPS 2220 Multichip Die Bonder
- Datacon PPS 2230 Multichip Die Bonder, 3 modules
- Delvotec 4200 Die Bonder
- Delvotec 4400 Die Bonder
- Delvotec 5410 Manual Ball Bonder
- Delvotec 5330 Manual Wedge Bonder
- Delvotec 5430 Manual Wedge Bonder
- Delvotec 6110 Wire Bonder
- Delvotec 6319 Wedge Bonder
- Delvotec 6320 Wire Bonder
- Delvotec 6400 Automatic Alu / Gold Wire Wedge Bonder
- Delvotec 6400DA Automatic Wedge Bonder w/ std + deep access
- Delvotec 6600 Heavy Aluminum Wedge Bonder&
- Delvotec 6830 Wire Bonder
- ESEC 2004 Die Bonder, setup for TO-220
- ESEC 2004 Die Bonder, setup for TO-92
- ESEC 2005 Soft Solder Die Bonder
- ESEC 2006 Bonder
- ESEC 2006 HR Die Bonder
- ESEC 2006 HR/X Die Bonder
- ESEC 2006 PI Die Bonder
- ESEC 2007 Die Bonder&
- ESEC 2007 BGA Die Bonder&
- ESEC 2007 HR Die Bonder&
- ESEC 2007 HS Die Bonder&
- ESEC 2007 IC8 Die Bonder&
- ESEC 2007 LOC Bonder&
- ESEC 2007 LOC Bonder - for spares
- ESEC 2008 IC8 Die Bonder&
- ESEC 2008XP Die Bonder&
- ESEC 3006X Die Bonder
- ESEC 3006 FPx Bonder
- ESEC 3006 FX Bonder
- ESEC 3008 Ball Bonder
- ESEC 3018 Ball Bonder
- ESEC 3088 Wire Bonder
- ESEC 3088 iP Wire Bonder
- ESEC 3100 Wire Bonder
- ESEC 3100 plus Wire Bonder
- ESEC BL-050 Loader / Unloader
- ESEC BU-050 Loader / Unloader
- ESEC CT2000 Flip Chip P/P and Dispensing System
- ESEC Micron 2 Die Bonder
- ESC 9200 Die Attach System
- Foton 830 Die Bonder
- Hesse & Knipps Bondjet 710-M Wedge/Wedge Bonder
- Hitachi CM-100 Die Bonder
- Hitachi CM-700 Die Bonder
- Hitachi LM-100 Die Bonder
- Hitachi LM-400 LOC Die Bonder, 8&
- Hitachi LM-500 Die Bonder
- Hughes 2460-II Auto Wire Bonder
- Hybond 522A Thermosonic Ball Bonder
- Hybond 572A Wedge Bonder
- Hybond 616 Ultrasonic Bonder
- Hybond 616-01 Ultrasonic Bonder
- Hybond 572A Wedge Bonder
- Hybond 572-40 Wedge Bonder
- Kaijo FB-118 Wire Bonder
- Kaijo FB-128 Bonder
- Kaijo FB-128A Bonder
- Kaijo FB-128EX Wire Bonder
- Kaijo FB-118CH Bonders
- Kaijo FB-131 Bonders
- Kaijo FB-137C Wire Bonder
- Kaijo FB-700 Wire Bonder
- Karl Suss FC150 Flip-Chip Bonder
- Karl Suss FC250 Flip-Chip Bonder
- Karl Suss SB8e Substrate Bonder, up to 8&
- K&S 1419 Wire Bonder
- K&S 1470-4 Automatic Wedge Bonder
- K&S 1474 fp Wire Bonder
- K&S 1484 Ball Bonder
- K&S 1484 LXQ Ball Bonder
- K&S 1484 LXQ turbo Ball Bonder
- K&S 1484 Turbo Wire Bonder
- K&S 1488 Wire Bonder
- K&S 1488 turbo Wire Bonder
- K&S 1488L turbo Wire Bonder
- K&S 1488 plus Wire Bonder
- K&S 4123 Manual Wedge Bonder
- K&S 4124 Manual Ball Bonder
- K&S 4124AD Digital Ball Bonder
- K&S 4129 Manual Wedge Bonder, Deep Access 90°
- K&S 4523 Manual Wedge Bonder
- K&S 4523D Manual Wedge Bonder
- K&S 4524 Gold Ball Bonder
- K&S 4524AD Digital Ball Bonder
- K&S 4524D Digital Ball Bonder
- K&S 4526 Wedge Bonder
- K&S 5408XL Die Bonder
- K&S 6490 Hybrid Die Bonder
- K&S 6900 Flip Chip Bonder
- K&S 8020 Wire Bonder&
- K&S 8028 Wire Bonder&
- K&S 8028S Wire Bonder&
- K&S 8060 Wedge Bonder&
- K&S 8090 Large Area Wedge Bonder&
- K&S 8098 Large Area Ball Bonder&
- K&S LaserPro 9388 Ball Attach System&
- K&S NuTek Wire Bonder&
- K&S Triton RDA Ribbon Deep Access Wedge Bonder
- Laurier CP222 Die Bonder
- Laurier HA225 Die Bonder
- Mech-El 907 Wire Bonder
- MEI 1204B Hybrid Ball Bonder
- NEC CPS-400F Die Bonder&
- NEC CPS-500 Die Bonder&
- Orthodyne M20 Manual / Semi-Auto Heavy Wire Bonder&
- Orthodyne M40 Aluminum Wire Bonder&
- Orthodyne M360A Wire Bonder
- Orthodyne OE360C Heavy Wire Bonder
- Orthodyne OE360B Wire Bonder&
- Palomar 2460-V Automatic Wire Bonder
- Palomar 2470-V Automatic Wire Bonder
- Palomar 3500-II Automatic Die Bonder
- Palomar CBT 6000 Large Area Wire Bonder - for spares only
- Panasonic DM60M-H Die Bonder
- Panasonic FB35W-M Flip-Chip Bonder
- Panasonic H227U-HF Wire Bonder
- Panasonic HW21U-B Wire Bonder
- Panasonic HW26U-B Wire Bonder
- Panasonic HW27U-B Wire Bonder
- Panasonic HW27U-H Wire Bonder
- Panasonic HW27U-HF Wire Bonder
- Panasonic HW37U-HF Wire Bonder
- Panasonic NM-EFD1A Die Bonder
- Panasonic STBW Bump Bonder, 6&
- Panasonic STB-2 Bump Bonder
- RD Automation CB5 Automated Flip Chip Bonder
- RD Automation M10 Semi-Automatic Flip-Chip Bonder
- RE'EM Electronics Ltd 6497 Semi-automatic Flip Chip Die Bonder&
- ROTARY 6310 Wedge Wire Bonder
- SEC Eagle 860 Omni Bonder
- Shibaura TFC-3000 Flip Chip Bonder
- Shibaura TTI-780 LOC Bonder
- Shibuya Kogyo DB200P Flip Chip Bonder
- Shibuya SBM 200 Solder Ball Mounter
- Shibuya SBM 300 Solder Ball Mounter
- Shinkawa CSD-1 LOC Thermo Bonding Memory/CCD
- Shinkawa SBB-410 Bump Bonder
- Shinkawa SBB-6 Bump Bonder
- Shinkawa SPA-210 Bonder
- Shinkawa UTC-200 Wire Bonder
- Shinkawa UTC-200BI Wire Bonder
- Shinkawa UTC-250 Wire Bonder
- Shinkawa UTC-259 Wire Bonder
- Shinkawa UTC-300 Wire Bonder
- Shinkawa UTC-370 Wire Bonder
- Shinkawa UTC-380 Wire Bonder
- Shinkawa UTC-380 BI Wire Bonder
- Shinkawa UTC-400 Wire Bonder w/ loader and unloader
- Shinkawa UTC-400BI Wire Bonder
- Shinkawa UTC-475 Wire Bonder
- Shinkawa UTC-475BI Super Bonder
- Shinkawa UTC-1000 Wire Bonder
- Shinkawa UTC-1000 Super Wire Bonder, mag to mag
- Shinkawa UTC-2000 Super Wire Bonder, mag to mag
- Shinkawa UTC-1080 Bonder
- TORAY OF2000 High Accuracy Flip-Chip Bonder
- TORAY OF2500 High Accuracy Flip-Chip Bonder
- TORAY OPF2005-07 High Accuracy Flip-Chip Bonder
- TOSOK EBD 3570 Automatic Epoxy Die Bonder
- Ultrasonic Engineering Al Wedge Wire Bonder
- West-Bond 5400B Ultrasonic Wedge Wire Bonder&
- West-Bond 5700B Ultrasonic Wedge Wire Bonder&
- West-Bond 7200A Pick & Place Epoxy Bonder&
- West-Bond 7367A Manual Eutectic Die Bonder
- West-Bond 7400A Ultrasonic Wedge Wire Bonder
- West-Bond 7400IW Ultrasonic Wedge Wire Bonder
- West-Bond 7416A Gold Wire Thermo-Compression Wedge Bonder&
- West-Bond 7440A Ultrasonic Wedge Wire Bonder
- West-Bond 7443A Ultrasonic Wedge Wire Bonder
- West-Bond 7400AT Eutectic Tweezer Bonder
- West-Bond 8500 Automatic Wedge Bonder&
- Yamaha i-Cube YHP-1 Flip Chip Die Bonder
- Yamaha i-Cube YHP-2 Flip Chip Die Bonder
- Zevatech Micron 2 Die Bonder
Burn-In Systems
- Aehr ATS-12100 Burn-In Oven
- Aehr ATS-12200 Burn-In Oven - for spares
- Aehr ATS-12400 Dynamic MOS Burn-in System&
- Aehr MAX-32000 Burn-In Oven
- Aehr MAX-64000 Burn-In Oven
- Aehr MBT-222 Burn-In Oven
- Aehr MTX-30000 Burn-In Oven
- Aehr MTX-R Burn-In Oven
- Aehr MTX-R H/A Burn-In Oven
- Aehr MTX-RP Burn-In Oven
- Aehr Test MTX-Fp+ Memory TDBI System
- Criteria-V Burn-In System
- Criteria-VI Burn-In System
- Criteria 18 Burn-In System
- Despatch PBC2-16 Burn-In Oven, 210 deg C
- Fujita IMTS-2410 Burn-In Oven
- Fujita IMTS-2411 Burn-In Oven
- Fujita IMTS-2412 Burn-In Oven
- MIRAE MR-9200 Burn-In Loader / Unloader
- STK BD-100 Burn-In Board Loader / Unloader
- STK BD-510 Burn-In Board Loader / Unloader
- Accretech TSK SCU-600E Chiller
- Accurate Gas Control Systems 354C Chiller/Heater Recirculator
- AIS Therma-Kool PC2-CON-1PH Recirculating Chiller
- DISCO DTU-150 Chiller
- DISCO DTU-151 Chiller
- DISCO DTU-152 Chiller
- Edwards TCU 40/80 Chiller
- Haskris R075S Refrigerated Chiller
- Liebert CSU3000 Cooling / Chiller System, Model DS065A
- Neslab CFT-25 Recirculating Chiller
- Neslab CFT-75 Recirculating Chiller
- Neslab CFT-100 Recirculating Chiller
- Neslab HX-75 Recirculating Chiller
- Neslab HX-75A Recirculating Chiller
- Neslab HX-150 Chiller
- Neslab HX-300 Chiller
- Neslab HX-500 Air-cooled Chiller
- Neslab MX-500 Chiller
- Neslab M-33 Circulator
- Polyscience 5005 Chiller / Recirculator
- SP Scientific FTS Kinetics RC210 Ultra Low Temperature
Recirculating Chiller
- Testech Focus 7540F Burn-In Oven
- Tokyo Seimitsu U-FW-20B-D Chiller
CVD / PVD Systems
- Aixtron AIX 200 MOCVD System, single wafer 2& III-V
- Aixtron AIX 200 MOCVD System, single wafer, GaN&
- Aixtron AIX 200 RF/A MOCVD System, single wafer 2& III-V
- Aixtron 2400 MOCVD System, configured for 4&
- Aixtron 2400 MOCVD System, multi wafer 2&/3& III-V Epi
- Aixtron 2400 G3 MOCVD System, multi wafer 2&/3& III-V Epi
- Aixtron AIX 3000 MOVPE Planetary Reactor
- AMAT Centura AP Enabler, 8& (12& capable)
- AMAT 5200 HDP CVD Chamber
- AMAT Centura DPS Poly, 8&
- AMAT Centura EPI System, 2 or 3 chambers
- AMAT Centura EPI Reactor, 12&
- AMAT Centura GigaFill DCVD, 3 chambers
- AMAT Centura GigaFill SACVD, 3 chambers
- AMAT Centura GigaFill DCVD, 4 chambers
- AMAT Centura Omega 5300, 8&
- AMAT Centura Super-E System, 8&
- AMAT Centura W CVD System, 8&, 4 WxZ chambers
- AMAT Centura 5200 5 Chamber DxZ TEOS, 8&
- AMAT Centura 5200 DxZ, 3 Chamber, 6&
- AMAT Centura 5200 DxZ, 3 Chamber, 8&
- AMAT Centura 5200 DxZ TEOS, 4 Chamber, 8&
- AMAT Centura 5200 MxP Metal Etcher, 8&
- AMAT Centura 5200 MxP Poly Etcher, 8&
- AMAT Centura 5200 MxP+ Oxide Etcher, 8&
- AMAT Centura 5200 WxZ, 6 Chamber, 8&
- AMAT Centura-II E-Max System, 3 chambers, 8&
- AMAT Centura-II E-Max System, 4 chambers, 8&
- AMAT Centura iSprint, 8&
- AMAT Centura TXZ CVD System, 3 chambers, 8&
- AMAT Centura Ultima HDP CVD, 8&
- AMAT Centura Ultima TE HDP CVD. 8&
- AMAT Centura Ultima X HDP CVD, 8&
- AMAT Barrier / Seed Endura PVD, 8&, SMIF
- AMAT Endura CVD, 6&
- AMAT Endura PVD Chamber, 12&
- AMAT Endura 5500 PVD, 3 chambers, 8&
- AMAT Endura 5500 CVD, 4 chambers, 8&
- AMAT Endura 5500 PVD, 5 chambers, 8&
- AMAT Endura 5500 MOCVD, 8&
- AMAT Endura 5500 MOCVD, 5 chambers,8&
- AMAT Endura 5500 MCA+, 2 chambers, 8&
- AMAT Precision 5000 Mk II Metal Etch, 6&
- AMAT Precision 5000 Mk II Oxide Etch, 6&
- AMAT Endura P5000 Reactor, 4 chambers, 6&
- AMAT P5000 Metal Etcher, 6&
- AMAT P5000 MxP Dry Etcher, 8&
- AMAT P5000 MxP+, Oxide, 8&
- AMAT P5000 MxP+, Poly, 8&
- AMAT P5000 Mk II Oxide Etch, 2 chambers, 6&
- AMAT P5000 CVD, 3 WxZ Chambers
- AMAT P5000 DxZ TEOS, 2 Chambers, 6&
- AMAT P5000, 2 Chambers, TEOS Oxide CVD&
- AMAT P5000 SACVD System, 8&
- AMAT P5000, 3 Chambers Poly / Nitride CVD, 8&
- AMAT Producer, 3 chambers (SiO, SiN), 8&&
- AMAT Producer CVD, 3 chambers, BPSG, TEOS, 8&&
- AMAT Producer APF, 8&
- AMAT Producer APF, 12&
- AMAT Producer III, 12&&
- AMAT HTHU Chamber
- AMAT TxZ Chamber
- AMAT Super-E Chamber
- AMAT spares - please ask !
- Anelva Cosmos I-1080 PVD, 6 chambers
- ASM Eagle 10 Trident Plasma PECVD System
- ASM Epsilon 2000 Epi Reactor, 8&
- ASM E3000 Epi Reactor, 12&
- AXIC Multimode HF-8 RIE System
- AXIC Plasmaster RIE System
- Electrotech ND6200 CVD System, 4& (up to 6&)
- EMCORE D-180 MOCVD System
- EMCORE DE-180 MOCVD System
- EMCORE E-300 MOCVD System&
- EMCORE E-400 MOCVD System
- GSI UltraDep I PECVD System, up to 6&, w/ load lock
- Hitachi Kokusai DJ-823V LPCVD, TEOS, 8&
- Hitachi Kokusai DJ-833V-8B LPCVD, D-Poly, 8&
- Hitachi Kokusai DJ-1206V Vertical LPCVD System, 12&
- Novellus Concept-1 Oxide CVD System, 6&
- Novellus Concept-1 TEOS System, 6&
- Novellus Concept-1 PECVD Nitride System, 4&
- Novellus Concept-1 PECVD System, 6&
- Novellus Concept-1 CVD System, 8&
- Novellus Concept-1 Nitride CVD System, 8&
- Novellus Concept-1 Tungsten W-CVD System, 8&
- Novellus Concept-2 Shrink W-CVD, 8&
- Novellus Concept-2 CVD-W System, 8&
- Novellus Concept-2 DLCM + 2 Chamber, CVD-W, 8&
- Novellus Concept-2 Sequel CVD, 8&
- Novellus Concept-2 Sequel Express CVD, 8&
- Novellus Concept-2 Sequel S CVD, 8&
- Novellus Concept-2 Dual Sequel Express, TEOS, 8&
- Novellus Concept-2 Dual Sequel PECVD, TEOS, 8&
- Novellus Concept 2 Altus CVD, 8&
- Novellus Concept-2 Single Altus Shrink W-CVD, 8&
- Novellus Concept-2 Dual Altus Shrink W-CVD, 8&
- Novellus Concept-2 Dual Altus CVD, 8&
- Novellus Concept-3 Dual Altus PNL CVD-W, 12&
- Novellus Concept-3 Low Temperature Ox CVD, 12&
- Novellus Concept-3 Speed NExT, 12&
- Novellus Inova Cluster Tool, 5 chambers, 12&
- Novellus Triple Speed CVD System, 8”&
- Novellus Vector SiO2/SiN/Pearl/TEOS, 12&
- Perkin Elmer 2400-SSA PVD System, 2& to 8&
- Plasmatherm 2480 RIE System
- Plasmatherm 700 VLR (Versalock) PECVD System
- Plasmatherm 700 VLR Single Chamber PECVD System, up to 8&
- Plasmatherm 730/720 RIE PECVD System, dual chamber
- Plasmatherm SLR-720 RIE System, 6&
- Plasmatherm SL-730 PECVD System
- Plasmatherm SLR-720 Dual Chamber RIE System, 4&
- Plasmatherm SLR-730 PECVD System
- Plasmatherm / Unaxis ECR 770 PECVD System
- Plasmatherm VLR ICP System, 6&
- Plasmatherm 790 ICP System, up to 8&
- Plasmatherm 790 PECVD System, up to 8&
- Plasmatherm 790 11& RIE System
- Riber 2300 MBE System
- Riber 32 MBE (Molecular Beam Epitaxy) System
- Riber Epineat III-V CBE MOMBE System
- TEL EP CVD System, 8&
- TEL MB2-730 CVD System, 8&, 3 Wsi chambers
- TEL Trias Oxide Etch System, 12&&
- TEL Unity M85 DRM Oxide Etch System, 8&&
- TEL Unity EP PECVD System, 8&
- TEL Unity IIe 85DP ATC CVD System, 8&&
- TEL UW300Z Wet Etching System, 12&&
- TEL Varian MBB-730 CVD, 8&
- THOMAS SWAN 6x2 CCS MOCVD Reactor
- Trikon / Aviza Planar 204 Flowfill, 6&
- Ulvac Entron PVD System, 12&&
- Vagatherm PVD 550 System
- Varian XM-90 PVD System, 6&
- Veeco E300 MOCVD System
- Veeco Gen II MBE System
- Watkins-Johnson T1000 APCVD System, 8&
- Watkins-Johnson
DCVD System
- YES 1224P CVD System
Datacom and Telecommunication
- Cable and Communication Testers by Test-Um Europe - please
Die Sorter
- ASM AS809 Die Sorter
- Canon CAP-300 II Digital Die Sorter
- Canon CAP-3000 Digital Die Sorter
- Canon CAP-3000 II Digital Die Sorter
- Dymatix / Viking 1041 Die Sorting System, 6&
- Dymatix 1046 Die Sorting System w/ Tape and Reel
- Laurier DS3000 Die Sorter
- Laurier DS4000 Die Sorter, up to 8& - parts machine
- Laurier DS7000 Die Sorter, up to 8&, from wafer into tray or
wafflepack
- Laurier DS7000 Die Sorter, up to 8&, tape & reel
- Laurier DS7000 Die Sorter - parts machine
- SINTEC DS8000 Semi-Automatic Die Sorter, up to 8&
- Muhlbauer DS8000 Die Sorter
- Able ASE-507 Spin Etcher&
- Alcatel 601E Deep Reactive Ion Etcher&
- Alcatel AMS-200SE Deep Reactive Ion Etcher, 4& to 6&
- AMAT Centura AP Enabler Oxide Etch, up to 12&
- AMAT Centura DPS+ Poly Etcher, 8&
- AMAT Centura eMax Oxide Etcher, 8&
- AMAT Centura-II eMax, 8&
- AMAT Centura RTP Xe+, 8&
- AMAT Centura WxP Etcher, 8&
- AMAT Centura 5200 DPN RTP System, 8&
- AMAT Centura 5200 eMXP Etcher, 8&
- AMAT P5000 Metal Etcher, 2 Chambers, 6&
- AMAT P5000 Metal Etcher, 2 Chambers, 8&
- AMAT P5000, 3 MxP+ Chambers, Cluster Tool, 8&
- AMAT P5000 MxP+, 3 Chambers, Poly, 8&
- AXIC PlasmaSTAR 200 RIE Etcher
- DNS FS-820L Wet Etching System, 8&
- DNS WS-820C Wet Etching System, 8&
- Drytek Megastrip 5 Plasma Etcher
- Drytek Megastrip 6 Plasma Etcher
- Drytek 384T Triode Etcher, 6&
- FSI Antaries Cryo Clean Hood
- FSI Aries Cryokinetic Surface Conditioning System
- FSI Excalibur ISR Anhydrous HF Vapor Cleaner
- FSI Mercury OC Spray Processor, 6&
- FSI Mercury MP Spray Processor, 6&
- FSI Polaris P2100 Spray Processor, 8&
- FSI Titan MP Spray Processor, 5&
- FSI Zeta 200 Semi-Automatic Batch Spray System&
- GaSonics PEP-4800DL Iridia Etcher, 8&
- GaSonics PEP-Iridia Etcher, 8&
- Glen R3A Plasma Cleaner
- Glen G1000-P Plasma Cleaner
- Hitachi M501AE Dry Plasma Etcher
- Hitachi M712E Dry Plasma Etcher, 12&
- LAM Alliance A6 Etch System, 3 chambers
- LAM Alliance A6 9400PTX Poly/ Nitride Etch System, 8&
- LAM Autoetch 490B Nitride Etcher, 6&
- LAM 490/590 Etcher, 4&
- LAM 590 Plasma Etcher, 3& to 6&
- LAM 590 Plasma Etcher, 6& - for parts only
- LAM 690 AutoEtcher, 6&
- LAM 2300 Etcher, 2 chambers, 12& (8& possible)
- LAM 2300 Versys Exelan Oxide Etch, 8&
- LAM 2300 Versys Star PolySilicon Etch, 12&
- LAM 2300 Versys Strip Chamber, 12&
- LAM 2300 Poly Etch System, 12&
- LAM 2300 Versys Kiyo 45 Poly Etch System, 12&
- LAM Rainbow 4420 Etcher, 6&
- LAM 4400 Poly Etcher, 8&
- LAM 4420 Poly Etcher, 8&
- LAM 4420 Rainbow Poly Etcher, 8&
- LAM 4420B Rainbow Poly Etcher, 8&
- LAM 4428 Nitride Spacer Etcher
- LAM 4428 Plasma Etcher, 8&
- LAM 4428 Poly / Nitride Etcher, 8&
- LAM 4500 Rainbow Etcher, 6&
- LAM 4520 Rainbow Etcher, 4&&
- LAM 4520 Rainbow Etcher, 6&
- LAM 4520 Rainbow Oxide Etcher, 8&&
- LAM 4520i Etcher, 6&
- LAM 4520XLE Oxide Etcher, 8&&
- LAM 4528 Oxide Etcher, 8&
- LAM 4528XL Oxide Etcher, 8&&
- LAM 4608 Rainbow Etcher, 8&
- LAM 9100 System
- LAM 9400 Alliance PolySilicon Etcher, 8&
- LAM 9500 Alliance Chamber
- LAM TCP 9400 SE Etcher, 6&&
- LAM TCP 9400 SE Etcher, 8&
- LAM TCP 9400 SE/ENV Etch System, 8&&
- LAM TCP 9600 PTX Etch System, 8&&
- LAM TCP 9600 PTX Metal Etch System, 8&&
- LAM TCP 9600 SE Metal Etcher, 8&
- LAM TCP 9600 SE Metal Etcher, SMIF, 8&
- LAM TCP 9608 Etch System, 8&&
- LAM spares - please ask !
- LAM AutoEtch Bulkhead Plasma Etcher, 6&
- March Instruments AP-1000 Plasma Cleaner
- March Instruments PM-600 Plasma Etcher
- March Instruments PX-250 Plasma Etcher
- March Instruments PX-500 Plasma Etcher
- March Instruments PX-1000 Plasma Etcher / Cleaner
- Matrix 303 Plasma Etcher
- Matrix 403 Plasma Etcher
- Oxford Plasmalab 80 RIE System
- Oxford Plasmalab 80 Plus RIE System, up to 8&
- Oxford Plasmalab 90 Plus RIE System
- Oxford Plasmalab 100 RIE System
- Oxford Plasmalab uEtch 300 RIE System
- Plasmatherm 700 (Versalock) RIE System
- Plasmatherm SLR-720 RIE System, Dual Chamber, 4&
- Plasmatherm SLR Dual Chamber 770 ICP System
- Plasmatherm 790-10 RIE System
- PVA Tepla / Technics PlanarEtch II 750 Plasma Etcher
- Samco RIE-200L Reactive Ion Etching System&
- SEZ RST-100 Spin Etcher, 6&
- SEZ RST-201 Spin Etcher, 8&
- SEZ RST-201J Spin Etcher, 8&
- SEZ SP-323 Spin Etcher, 12&
- Shibaura CDE-80BHN Etcher, 2 Chambers, SiN/SiO&
- Shibaura CDE-80HN Etcher, 2 Chambers, Poly&
- SSEC 3300 Wet Etch System, 6&
- SSEC 3301 Wet Etch System
- STS ASPECT Cluster Tool ICP, 6&
- STS ICP ASE Multiplex, 6&
- STS MESC Multiplex ICP Etcher, 4&&&
- STS Multiplex Reactive Ion Etcher (RIE), 6&
- STS Multiplex ICP High Rate Inductively-Couple Plasma Etcher, up
- Sumitomo OZ3220 Dry Etcher
- Technics PE-II Parallel Plate Plasma Etcher
- Technics 220 Plasma Etcher
- Technics 8800 RIE Benchtop Etcher
- Technics 8800 RIE Floor Mounted Etcher
- Tegal 901e Nitride Etcher, 6&
- Tegal 903 Etcher, 3&
- Tegal 903e Oxide Etcher, 4&
- Tegal 903e Oxide Etcher, 6&
- Tegal 1611 Plasma Etcher
- Tegal 6510 Metal Etcher, 8&
- Tegal 6520 HRe Alu Etcher, 6&
- Tegal 6550 RIE Etcher, 8&
- TEL Telius Oxide Etch System, 12&
- TOK TSE-306W Plasma Etcher
- Trion Minilock RIE Plasma Etcher, 8&
- Trion Series 2 Minilock ICP Plasma Etcher
- Trikon Omega II RIE Etcher
- Trion Oracle ICP Oxide Etcher / Cluster Tool, up to 8&
- Veeco LL-250 Load Lock Ion& Beam Milling System, up to 6&
- Veeco RF-250 Ion Beam Milling System
- Veeco RF-350 Ion Beam Milling System, up to 8&&
- Veeco 301 Ion-Beam Milling System
- Veeco 601 Ion-Beam Milling System
- Veeco Microetch 1201 Ion-Beam Milling System, up to 8&
- YES CV108 Downstream Microwave Plasma Stripper
- YES Glen R3A Plasma Cleaner
- Wetbench for TMAH etching, 6&
Evaporation and Sputtering Systems
- Airco Temescal HRS 2550 Sputtering System
- Alcatel 2460 Sputtering System
- AMAT 5500 Endura Sputter System
- Anatech-Technics 4604 Sputtering System
- Anelva ILC-1015i Sputtering System, 6&
- Balzers BAK-550 Evaporator System
- Balzers BAK-600 Evaporator System
- Balzers BAK-640 Evaporator System
- Balzers BAK-750 E-Beam Evaporator System
- Balzers BAK-760 E-Beam Evaporator System
- Balzers BAK-760 E-Beam Evaporator System - parts machine
- Balzers CL 200 Cluster Tool
- Balzers LLS-801 Sputtering System
- Balzers LLS-900 Sputtering System, 6&
- Balzers LLS EVO Sputtering System, 8&
- Balzers SCS 800 Box Coater
- Balzers Z660 Sputtering System - new system
- CHA Mark 40 E-Beam Evaporator
- CHA Mark 40 Evaporator w/ Ion Mill
- CHA Mark 50 E-Beam Evaporator, 5&
- CHA SE-600 E-Beam Evaporator
- CHA SE-1000 E-Beam Evaporator
- CHA SEC 1000 RAP E-Beam Evaporator
- CVC 601 Sputtering System, 8&
- CVC 611 Sputtering System, 8&
- CVC E-Beam / Filament Evaporator, 18& id x 30& height&
- Denton Benchtop Turbo IV Filament Evaporator
- Denton Desk I Sputter Coater w/ 2& target
- Denton Desktop II Table-top Sputtering System&
- Denton DV-502C Evaporator
- Denton Infinity 26 Vacuum Evaporator, stainless steel chamber
- Denton Integrity 60 Coating System
- Denton Vacuum Evaporator, stainless box
- Edwards 306 Filament Evaporator
- Electrotech MS 6210 Sputtering System, 4&
- Electrotech RF Sputtering System
- Headway Research LSC510 Large Substrate Coater
- Headway Research Photoresist Coater
- Horizontal Inline Sputtering System, 300mm x 300mm coating area
- Indel 3-gun RF Sputtering System
- Intevac D-Star, 3 Chamber E-Beam Coating System
- IVI E-Beam Box Coater
- Karl Suss Gamma 60 Coater, 6&&
- Karl Suss RC8 Manual Spin Coater, up to 8&
- Karl Suss RC16 Spin Coater, 8&
- K.H. Frederick / Leo Engineering E-Beam System
- Kurdex Vertical Sputtering System
- LAK 300 Evaporator System
- Leybold 240 Metalizer&&&&&&&&&&&
- Leybold APS 904 Optical Coating System&&&&&&&&&&&
- Leybold APS 1104 Evaporation System&&&&&&&&&&&&&
- Leybold L-560E&Evaporation System
- Leybold Z-600 Sputtering System
- Leybold Z-660 Sputtering System - for parts only
- Leybold Z-700 Sputtering System
- Leybold ZH-620&Sputtering System
- Leybold-Heraeus Web Coater
- Meivac / US INC Magnetron Sputtering system
- MRC 603 Sputtering System
- MRC 643 Sputtering System
- MRC 902 In-Line Sputtering System, 2 targets
- MRC 903 In-Line Sputtering System, 3 targets
- MRC 943 In-Line Sputtering System, 2 targets
- MRC 943 In-Line Sputtering System, 3 targets
- MTS Turret Style Sputtering System
- Novellus C-2 Metal Sputter, 6&
- NVSI Ultrahigh Vacuum Box Coater&
- Perkin-Elmer 2400 Sputtering System, up to 6&
- Perkin Elmer Randex 2400-8L Sputtering System
- Perkin Elmer 4400 RF Sputtering System
- Perkin Elmer 4410 RF / DC Sputtering System
- Perkin Elmer 4450 RF Sputtering System
- Plasma Sciences HRC-200 Table-Top Sputtering System
- Roll to Roll (WEB) Coater
- SFI (Sputtered Films Inc.) 24 R&D Single Chamber Up-Sputtering
- SFI Endeavor 8600 Sputtering Cluster Tool, 6&
- Showa E-Beam Evaporator&&&&&&&&&&&
- Showa SGC-26SA-RF Optical Coating System for general optics&&
- Semicore SC600 Vertical Sputtering System
- Semicore SC900 Horizontal Sputtering System
- Semicore SC1500 In-Line Sputtering System
- Temescal FCE-4000 Electron Beam Evaporation System
- Temescal FC1800 Electron Beam Evaporator
- Temescal VES-2550 Evaporation System
- Thermionics VE-70 Filament Evaporator
- Thermionics VE-90 Vacuum Evaporator
- Tokki& Evaporator System
- Torr CRC-150 Compact Research Coater (Sputter/Etch)
- Trikon MS-6200 Horizontal Sputtering System, 4& to 6&
- Ulvac SMD 450 Sputter
- Ulvac ZX-1000 Sputter
- Unaxis BAK-640 Evaporator
- Unaxis BAK EVO Multiple Source Thermal Evaporator
- Varian 3180 Sputtering System, 4&, upgradeable to 5&
- Varian 3280 Sputtering System, 6&
- Varian 3290 ST Sputtering System
- Varian M2000 Sputtering System, 8&
- Varian M2i Sputtering System, 8&
- Varian Match Unit, Part-No. 04-
- Varian XM90 Sputtering System, 6&
- ASM A400 Vertical Furnace, 8&
- ASM A600 UHV LP HSG Furnace
- ASM 600 LPCVD Furnace
- ASM DFS 250 (4) Stack LPCVD Furnace
- ASM Scavenger 4-Stack Horizontal Diffusion Furnace - for parts
- Aviza RVP-300 Furnace, 12&
- Bruce BTI BDF-4 Horizontal Oxidation Furnace, 4& (up to 5&)
- Bruce BTI BDF-41 Horizontal Oxidation Furnace, 6&
- Bruce BTI BDF-2000 Horizontal Oxidation Furnace, 8&
- Expertech RF-202 Dual Stack Horizontal Furnace, 8&
- Futek PF-3103 Magnetic Annealing Furnace
- Hitech Furnace Twin 8& Diffusion/Anneal
- Kokusai / BTU Bruce BDF-41 Horizontal Furnace, 6&
- Kokusai DJ-803V-8B Furnace, 8&
- Kokusai Vertron DJ-815V Poly Furnace, 8&
- Kokusai DJ-835V-8BL Furnace, D-Poly, 8&
- Kokusai Zestone III (DJ-1223V) Verticla Furnace, Poly, 12&
- Koyo Thermo System VF-5300B Polyimide Bake&
- MRL Cyclone 1224 Two-Tube 300mm Horizontal Furnace
- ProTemp SolaReactor PRO-200 4-Tube Furnace, up to 8&
- SST 2200 Vacuum Furnace&
- SVG VTR-7000 Vertical Furnace, 8&
- TEL Alpha 303i-H Vertical Diffusion Furnace, 12&
- TEL Alpha 303 I-K Furnace
- TEL Alpha 8S LPCVD Reactor
- TEL Alpha 8S LPCVD, 8&&
- TEL Alpha 8S LPCVD, 8&, TEOS&
- TEL Alpha 8S Oxide, 8&&
- TEL Alpha 8S-ZV LPCVD, High Temp Oxide, 8&&
- TEL Alpha 8SE LPCVD, D-Poly, 8&&
- TEL Alpha 8SE Nitride LPCVD, 8&
- TEL Alpha 8SE-C LPCVD Poly Vertical Furnace, 6& (up to 8&)
- TEL Alpha 8SZ Furnace
- TEL Alpha 8 Heater Element, rebuilt (P/N DS-13)
- TEL Alpha 808 Vertical Furnace, 8&
- TEL Formula-1 Furnace, 12&
- TEL VCF-610S Vertical Furnace Poly, 6&
- TEL Telindy Vertical Furnace Poly, 12&
- Thermco MB71 Mini-Brute Tube Furnace
- Thermco MB81 Mini Brute Furnace, 5&
- Thermco TMX 4300 12k Furnace, 4& / 5&
- Thermco TMX 4300 Furnace, 6&
- Thermco HTR 5200 Horizontal Diffusion Furnace, 6&
- Watkins-Johnson 6C-164 Furnace, 12 zones
IC Handlers
- Advantest M4622A Handler
- Advantest M4741A Handler
- Advantest M6300 Handler
- Advantest M6541A Handler
- Advantest M6541AD Handler
- Advantest M6721A Handler
- Advantest M6741A Handler
- Advantest M6741AD Handler
- Advantest M6742A Handler
- Advantest M6742A Handler
- Advantest M6751A Handler
- Advantest M6841A Handler
- Advantest M6842D Handler
- Advantest M6861A Handler
- Advantest M6861D Handler
- Advantest M6862D Handler
- Advantest T7321A DIMM / RIMM Handler
- Advantest T7521 Handler
- alpha-test ATH-5700 SOT Handler
- alpha-test ATH-7000 Series Memory Module Handlers
- alpha-test ATH-9004 Memory Module Labeling System
- alpha-test ATH-9904 Memory Module Labeling System
- Aerotech DLP (Digital Light Processing) Test Unit
- ANDO AL-9341 Handlers
- ASECO S-130 Handler, ambient / hot, various kits
- ASECO S-130 Handler Spare Parts
- ASECO S-150 Handler
- ASECO S-170C Handler, tri-temp, single site, SO-150mil
- ASECO S-170D Handler, ambient/hot, dual site, TSSOP 173mil
- ASECO S-200 Pick & Place Handler w/ 11 x 11 CPBA kit
- ASECO S-200 spares - please ask !
- ASECO TL-50 Pick & Place Handler, tri-temp
- Aetrium 900 Handler, tri-temp
- Aetrium 1400 Handler, tri-temp
- Aetrium 5050DTS Handler, dual site, w/ SO-208mil kit
- Aetrium 5050S Handler, ambient, w/ SO-150mil kit
- Aetrium 5050S Handler, ambient/hot, w/ SO-150mil kit
- Aetrium 5050T Handler, ambient
- Aetrium 5050T Handler, tri-temp, w/ SO-300mil kit
- Aetrium QT-50P Handler
- Aetrium TR-1000T Tray Handler
- Aetrium / Web Technology 8832 Handler
- Aetrium / Web Technology QT Handler
- AMT8310 Handler for DDR / DDR2 Memory Modules&
- Daymarc 3287 Handler, w/ DIL-600mil Kit
- Daymarc 717 Handler, ambient, w/ SO-150mil Kit
- Delta Design 1010 Handler
- Delta Design 1210 Handler
- Delta Design 1240 Handler
- Delta Design 1688 Flash Handler
- Delta Design 1688ES P&P Handler, ambient
- Delta Design 2040 RFS Handler
- Delta Design 4015 Handler
- Delta Design 4020 Handler
- Delta Design Castle LX Handler
- Delta Design Edge Gen2 Handler
- Delta Design NitroFlex 1040 Handler, tri-temp
- Delta Design Nitro 1810 Handler
- Delta Design Nitro 1840 Handler
- Delta Design Summit ATC Handler
- Delta Design TurboFlex 1010 Handler, tri-temp
- Delta Design Turbo Flex 1220 Handler, tri-temp
- Delta Design Nitroflex P & P Handler, tri-temp
- Delta Design Turboflex P & P Handler, tri-temp
- Exatron 900 Dual Site Handler
- Exatron 902-1 Handler, set up for JEDEC trays
- Exatron 902-2 Handler, set up for JEDEC trays
- Exatron 902-2 Handler with Model 19LV-R10 Laser Marker
- Exatron 904-I Handler, tri-temp, set up for JEDEC trays
- Exatron 5000 Handler w/ SO-150mil kit
- Exatron 8000-3 Pick & Place Handler
- Exatron 8000V Pick & Place Handler
- Exatron QFN Test Station
- HAN Tech WS-3000 Pick & Place Handler&
- Hitachi EH-2320-DS Handler
- JLSI 8652 Pick & Place Handler
- JLSI 8655H Pick & Place Handler
- MCT-3608 Handler, ambient/hot, w/ DIL-400 kit
- MCT-3616E Handler, ambient/hot, w/ DIL-300, DIL-400 and DIL-600
- MCT-4600E-CK Chip Carrier Handler
- MCT-4610 Handler, tri-temp, w/ several PLCC kits
- MCT-4610 H Handler, single site, w/ PLCC-20 and PLCC-28 kits
- MCT-4610 H Handler, single site, tri-temp, w/ PLCC-32 kit
- MCT-4610 H Handler, dual site, tri-temp, w/ PLCC-32 kit
- MCT-5100 Handler, ambient / hot, dual site, SO-150mil kit
- MCT-5105 Handler, ambient/hot, dual site, SO-150mil kit
- MCT-5105 Handler, ambient/hot, dual site, TSSOP 173mil kit
- MCT-5105 Handler, tri-temp, dual site, TSSOP 173mil kit
- Microhandling MH100 SO-Handler
- Microhandling MH200 SO-Handler w/ SO-150mil + SO-300mil kits
- Microhandling MH240 Handler w/ SO-209mil + SO-300mil kits
- Microhandling MH245 Handler w/ SO-150 mil kit
- Microhandling MH245 Handlers w/ SSOP-209mil kit
- Microhandling MH245 Handlers w/ SO-150mil, SO-300mil and SSOP-209mil
- Microhandling MH250 Conversion Kit SO-150mil
- Microhandling MH240 Conversion Kits - please ask !
- Microhandling MH245 Conversion Kits - please ask !
- Microhandling MH250 Conversion Kits - please ask !
- Microhandling MH300 Handler
- Microhandling MH802 Handler, ambient/hot, dual site, w/ SO-300mil
- Microhandling MH802 Handler, ambient/hot, dual site, w/ SSOP-300mil
- Microhandling MH803 Handler, ambient/hot
- Mirae MR-204E Handler
- Mirae MR-5300 Handler
- Mirae MR-5400 Handler
- Mirae MR-5500 Handler
- Mirae MR-7300 Handler
- Mirae MR-7500 Handler
- Mirae MR-7500 Handler Module Trays
- Multitest MT8305L Handler, ambient/hot, w/ DIL-300, DIL-400, DIL-600
- Multitest MT8501HT Handler, tri-temp, w/ DIL-300 kit
- Multitest MT8501HT Handler, tri-temp, w/ several PLCC kits
- Multitest MT8501 Change Kits (SO, PLCC, DIL)
- Multitest MT8503 Handler, tri-temp
- Multitest MT8503 Conversion Kit - PLCC-28
- Multitest MT8588 Handler, tri-temp
- Multitest MT8589 Handler, ambient only, dual site
- Multitest MT8589 Handler, tri-temp, dual site, w/ DIL-300 kit
- Multitest MT8589 Handler w/ PLCC-44 kit
- Multitest MT8589 Handler, ambient
- Multitest MT8589 Handler, tri-temp
- Multitest MT8589 Conversion Kit - SO-300mil, dual
- Multitest MT8589 Conversion Kit - SSOP-300mil
- Multitest MT8704i Handler, ambient/hot, w/ SO-150mil and SO-300mil
- Multitest MT8704i HF Handler, ambient/hot
- Multitest MT8704i HF Handler, ambient/hot, SO-150mil kit
- Multitest MT8704i HF Handler, ambient/hot, TSSOP-173mil kit
- Multitest MT8704i HF Conversion Kit, SSOP 209mil
- Multitest MT8704i HF Conversion Kits -- ask for details !
- Multitest MT9308 Handler, ambient/hot, dual site, SO-150mil kit
- Multitest MT9308 Handler, ambient/hot, quad site, SO-150mil kit
- Multitest MT9308 Handler, ambient/hot, dual site, SO-300mil kit
- Multitest MT9308 Handler, tri-temp, dual site, TSSOP-240mil kit
- Multitest MT9320HS Handler, tri-temp, SSOP kit
- Multitest MT9510 Handler, ambient only
- Multitest MT9510 Handler, ambient only, w/ ICOS vision system
- Multitest MT9510 Handler, ambient/hot
- Multitest MT9510 Conversion Kits -- ask for details !
- Multitest MT9918 Handler - parts machine
- Muhlbauer IPL 6800 Tape & Reel System
- Northwave EM1 Test Handler Emulator, tri-temp, w/ several kits
- RASCO SO1000 Handler, ambient / hot, w/ MSOP 8ld kit
- RASCO SO2000 Handler, ambient / hot, bowl feeder, SOT-23
- Seiko-Epson HM-3500 Handler
- Seiko-Epson NS-5000 Handler
- Seiko-Epson NS-5000 F4 Handler
- Seiko-Epson NS-5000 F4 Handler, dual site
- Seiko-Epson NS-5040 F4 Handler
- Seiko-Epson NS-5000 LPT Handler
- Shibasoku WS93 IC Handler
- Shibuya ST100 & ST200 Tray Handlers
- Symtek 3050HC Handler, w/ SOJ-300mil kit
- Symtek 4030HC Handler, w/ SOJ-400mil kit
- Symtek 500 Handler w/ 150-mil SO kit
- Synax SX121H Handler&
- Synax SX141 Handler, ambient / hot
- Synax SX1211 Handler
- Synax SX1221 Handler
- Synax SX1601C Handler, tri-temp
- Synax SX1701 Handler, ambient / hot
- Synax SX1701N Handler
- Synax SX3100 Pick & Place Handler
- TESAM / JLSI 8653 Pick & Place Handler
- TESAM / JLSI NHZ-2655 Pick & Place Handler
- TESEC 8701 Handler
- TESEC 8702 Handler
- TESEC 8710 Handler
- TESEC 8714-PH Handler, bowl to tube for TO-220
- TESEC 8809 Handler, ambient, for TO-92
- TESEC 9110 Handler, 400 mils
- TESEC 9410-TH Handler
- TESEC 9508-TH high-speed Handler, ambient/hot, DIL-300
- TESEC 9588-IH Pick & Place Handler&
- Yamaha V4 Handler
- Yokogawa / Kuwano LT-820R P&P Handler&
- Yokogawa / Kuwano LT-930R SO-Handler&
- XuFeng Simplex SG2000DD Handler, dual site, ambient/hot, SO kits
- new system !
IC Testers
- Advantest T2000 SOC Test System
- Advantest T3316 Test System
- Advantest T3324 Test System
- Advantest T3324 Test System - parts machine
- Advantest T3326A Test System
- Advantest T3340 Test System - spare boards only
- Advantest T3347A Test System
- Advantest T3347P Test System
- Advantest T5334 Test System
- Advantest T5335 Test System
- Advantest T5335P Test System
- Advantest T5336 Test System
- Advantest T5355S Testsystem
- Advantest T5365 Memory Test System
- Advantest T5365P Memory Test System
- Advantest T5371 Test System
- Advantest T5375 Testsystem w/ TEL P-12XLn Wafer Probers&&
- Advantest T5375 Testsystem, single head, and M6770 Handler
- Advantest T5375 Testsystem w/ flash option and 2 ea. M6771AD Handlers
- Advantest T5377 Testsystem
- Advantest T5382A Test System
- Advantest T5383 Test System
- Advantest T5571 SOC Test System
- Advantest T5571P Test System
- Advantest T5581 Test System - for spares
- Advantest T5581 D Type Test system w/ (2) M6721AD Handlers
- Advantest T5581H Test System, single head
- Advantest T5581H Test System, two heads
- Advantest T5581H D Type Test System
- Advantest T5581HC Test System
- Advantest T5581H Test System, dual D-head
- Advantest T5581P Test System, 2 testheads
- Advantest T5585 Test System
- Advantest T5585 Memory Test System w/ M6541AD Handlers
- Advantest T5585 Memory Test System w/ M6542AD Handlers
- Advantest T5586 Memory Test System w/ M6541AD Handlers
- Advantest T5588 Memory Test System w/ M6300 Handlers
- Advantest T5591 Memory Test System&
- Advantest T5592 Memory Test System
- Advantest T5593 Memory Test System
- Advantest T5593 Memory Test System w/ M6542AD Handlers&
- Advantest T5593 Memory Test System w/ M6771AD Handlers&
- Advantest T5722 Flash Memory Test System&
- Advantest T5771 Flash Memory Test System
- Advantest T6331 LCD Driver Test System
- Advantest T6371 ND1 LCD Driver Test System, 1280 pins
- Advantest T6372 Test System
- Advantest T6372 Test System w/ M7521A Handler
- Advantest T6372 Test System w/ 2 ea. M7521A Handlers
- Advantest T6372 Test System w/ UF200S Prober
- Advantest T6577 SOC Test System, 512 pins
- Advantest T6577 SOC Test System, 768 pins
- Advantest T6577 SOC Test System, 1024 pins
- Advantest T6671E Tester w/ M4622A Handler
- Advantest T6673 SOC Test System
- Advantest T6682 SOC Test System&
- Advantest T6683 SOC Test System
- Advantest T7315 LCD Test Systems
- Advantest T7342 Mixed Signal Test System
- Advantest T8331 CCD Test System
- Advantest Spare Boards - please ask for details
- Agilent / HP 4062 Parametric Test System
- Agilent / HP 4062F Flash Memory Parametric Test System
- Agilent / HP 4062UX Parametric Test System
- Agilent 4071A Advanced Parametric Test System
- Agilent 4073B Advanced Parametric Test System
- Agilent 8 Test System, 64 Channels, 1Meg Memory
- Agilent 8 Test System, 256k Memory
- Agilent 83000, 50 MHz, 256 Channels, 4 Meg Memory
- Agilent 8t Test System, 304 Channels, 8 Meg Memory
- Agilent 8t Test System, 384 Channels, 4 Meg Memory
- Agilent 8t Test System, 416 Channels
- Agilent 8t Test System, 448 Channels, 4 Meg Memory
- Agilent 8i Test System, 512 Channels
- Agilent 83000 Testhead for 512 channels, without pin cards
- Agilent 9e SoC Test System
- Agilent 9e/C400e SoC Test System, 496 pins&
- Agilent 9e SoC Test System, 496 channels
- Agilent 9 SoC Test System - parts machine
- Agilent 9e SoC Test System
- Agilent 9e SoC Test System, 496 channels
- Agilent 93000 PS 800 SoC Test System, 96 pins
- Agilent 93000 PS 800 SoC Test System, 512 pins, w/ M6300 Handler
- Agilent 93000 PS 800 SoC Test System, 576 pins
- Agilent 93000 PS800 SoC Test System, 960 pins
- Agilent 93000 PS800 SoC Test System, 1024 pins
- Agilent 9 SoC Test System, 512 pins, 28 Meg LVM
- Agilent 9 SoC Test System, 672 pins
- Agilent 93000 Spare Boards - please ask for details
- Agilent 94000 Test System
- Agilent 94000 IP Test System
- Agilent 9492B Mixed Signal Test System
- Agilent 9494 Mixed Signal Test System
- Agilent 9495A Mixed Signal Test System
- Agilent Versatest 1000M Memory Test System
- Agilent Versatest 1004 Memory Test Systems
- Agilent Versatest 1008 Memory Test System
- Agilent Versatest V1316 Memory Test System
- Agilent Versatest V3304 Flash Memory Test System
- Agilent Versatest 4000 Memory Test System
- Agilent Versatest 4100 Memory Test System
- Agilent / Verigy V4400 Flash Memory Test System
- Agilent / Verigy V4436 Flash Memory Test System - parts system
- Agilent 10 Gbps DWDM-bit Error Rate Tester&
- Analog Devices LTS-2020 Analog Tester&&
- ANDO AL6082 Memory Tester
- ANDO AL6082 Memory Tester w/ AHM 912 Handlers
- ANDO (LTX) AL7257 Logic Test Systems&
- ANDO AL-9341 60MHZ Tester with Mirae MR5300 Handlers&
- BTS BASIC Analog Testsystems
- Credence ASL 1000 Testsystem
- Credence ASL 3000 Testsystem
- Credence ASL 3000 RF Testsystem
- Credence D10 Testsystem, 100 MHz, 288 pins, 16M LVM&
- Credence DUO Testsystem
- Credence DUO Calibration Kit
- Credence Kalos 1 Testsystem
- Credence Kalos HEX Testsystem, 768 I/O pins
- Credence Kalos Testsystem, 768 I/O pins, 16 sites
- Credence LT-1000 Testsystem
- Credence LT-1001 Testsystem
- Credence Quartet Testsystem
- Credence Quartet One Testsystem, 384 Pins, 16M LVM
- Credence Quartet One Plus Testsystem, 100 MHz, 224 pins, 16 M
- Credence Quartet One Plus Testsystem, 100 MHz, 376 pins, 16 M
- Credence Quartet One Plus Testsystem, 200 MHz, 448 pins, w/ UF-200AL
- Credence Sapphire Testsystem, 384 pins
- Credence Sapphire Testsystem, 768 pins
- Credence SC micro Testsystem
- Credence SC212 Testsystem
- Credence SC312 Testsystem
- Credence SC312 micro Testsystem
- Credence STS-5000 Testsystem
- Credence STS-5020 Testsystems&
- Credence STS-5300 Testsystem&
- Credence STS-6120 Test System
- Credence Valstar 2000 Testsystem
- Credence ASL 1000 Spare Boards - please ask for details
- Credence ASL 1000 and ASL 3000 Board Repair - please ask for
- Tanisys DarkHorse Sigma3 M200 SDRAM Memory Test System
- Tanisys DarkHorse Sigma3 M600 DDR2 Memory Test System
- Deltest 3350 Linear Test System - parts system only
- Eagle LSI-4 Mixed Signal Test System
- Eagle ETS-300 Mixed Signal Test System
- Eagle ETS-364 Mixed Signal Test System
- Eagle ETS-600 Test System
- Eagle ETS-600 Calibration Kit
- Eagle ETS Spares - please ask for details !
- EPRO 142A / 142AX Memory Tester
- Fujita HA-2200 Memory Burn-In Tester
- GenRad GR-1731-M Analog Tester&&
- GenRad GR-1732-M Digital Tester&&
- GenRad GR-1734-M Memory Tester&&
- Haefely Psurge 30.2 Surge Generator for EMC and component testing&
- Hitachi HA6500 Tester
- HP 4062 Parametric Tester
- IMS ATS Blazer Testsystem
- Keithley S-350i Parametric Tester
- Keithley S-425 Parametric Tester
- Keithley S-400 Parametric Tester
- Keithley S-475 Parametric Tester
- Keithley S-900NT Parametric Tester - for spares
- King Tiger KT2P DRAM Tester
- KVD V2 Mixed Signal Tester
- Leap ICT-6C Benchtop Digital Tester
- Leap ICT-7A Benchtop Analog Tester
- Lorlin DTS Discrete Semiconductor Tester, 1,200V / 30 A, upgradeable
- Lorlin 7BT Discrete Semiconductor Tester, 600V / 20 A, upgradeable
- Lorlin 7BT Discrete Semiconductor Tester, 2 kV / 100 A
- Lorlin Double Impact Discrete Semiconductor Tester, 2 kV / up to 500
- Lorlin Impact II Discrete Semiconductor Tester
- Lorlin Double Impact Discrete Semiconductor Tester, 2 kV / up to 500
- Lorlin X1000 Parallel Discrete Semiconductor Tester
- LTX-77 CP100 Controller&
- LTX-77 TS80 Tester w/ PC PE3000 Controller&
- LTX-77 TS80 Test Station&
- LTX-77 TS88 Test Station&
- LTX Delta 50 STE Test System
- LTX Delta / STE Logic Testsystem
- LTX Delta / STE Logic Testsystem, 192 Hiper Pin Channels
- LTX Fusion Tester, 512 pins
- LTX Fusion CX Tester, 48 digital pins
- LTX Fusion CX Tester w/ RF option
- LTX Fusion DH Tester
- LTX Fusion DM Tester
- LTX Fusion HF Tester, 256 pins
- LTX Fusion HF Tester, 320 pins
- LTX Fusion HF Tester, 512 pins
- LTX Fusion HFi Tester, 128 pins
- LTX Fusion HT Tester
- LTX Fusion HT RF3500 Tester
- LTX-Trillium DeltaMaster
- LTX-Trillium MicroMaster II H Tester
- LTX Synchro Tester
- LTX Synchro AC Tester
- LTX Synchro CS Tester
- LTX Synchro HT Tester
- LTX Synchro-II Tester
- LTX Synchro Plus Tester
- LTX Synchro spares - please ask for details !
- LTX Synchro TelePAC Tester
- MCT-2010 Tester
- MCT-2020 Tester
- MCT-2030 Tester
- MegaTest Genesis-II Memory Test System
- MegaTest Q2/52 Memory Test System&
- MOSAID MS3400 Memory Tester
- MOSAID MS3440 Memory Tester
- MOSAID MS3480 Memory Tester
- MOSAID MS3490 Memory Tester
- MOSAID MS3495 Memory Tester
- MOSAID MS4104 Memory Tester
- MOSAID MS4105 Memory Tester
- MOSAID MS4205 Memory Tester
- MOSAID MS4205ex Memory Tester
- MOSAID MS4115 Memory Tester
- MOSAID MS4155 Memory Tester
- MOSAID MS4155 Memory Tester - for spares
- Nextest Magnum SSV with Mirae M440 Test Handler
- Novtek NTS-2200 Flash Cycling System
- Oryx EX11000 ESD Tester w/ cable harness tester, 2016 pins&
- Roos Instruments RI7100A HF Test System
- SANWA LMP300L Memory Test System
- Schlumberger AMS 1000 Focused Ion Beam
- Schlumberger EXA 2200 Testsystem
- Schlumberger IDS 5000 HX E-Beam Test System
- Schlumberger IDS 10000 E-Beam Test System
- Schlumberger IDS 10000 plus E-Beam Test System
- Schlumberger ITS 9000CV Testsystem, 192 channels
- Schlumberger ITS 9000 EXA 2000 Test system
- Schlumberger ITS CVII Mixed Signal Testsystem
- Schlumberger RDX2200 RAMBUS Memory Tester&
- Sentry-10 LSI Test System
- Sentry-15 VLSI Test System, 256 pins
- Sentry-1650 VLSI Test System, 256 pins - parts machine
- Sentry-20 VLSI Test Systems, with 60 and 120 pin heads
- Sentry-20 VLSI Test System w/ 120 pin head
- Sentry-21 VLSI Test System, 120 pin head
- Sentry-20 + Sentry-21 Tester spares - please ask for details !
- Shibasoku WL27 Tester
- SPEA C320MX Test System
- STS-6060 Test System
- STS-6120 Test System
- STS-6520 Test System
- STS-6560 Test System
- STS-8256 VLSI Test System
- SZ M3000 Test System w/ SCADUS software (PC-based)
- Testadapters for SZ M3000 Test System:
& - TA-01B&&& Passive Components Test Adapter (L-C-R)
& - TA-03B&&& Voltage Regulator Test Adapter
& - TA-06B&&& Optocoupler Test Adapter
& - TA-07B&&& Transistor Test Adapter
& - TA-07B.1& Transistor Test Adapter, incl. AC
& - MX-07B&&& Matrix for TA-07B (for Arrays)
& - TM-07B&& Time Measurement Unit
& - TA-10B&&& Digital Test Adapter
& - TA-11B&&& Motor Driver Test Adapter
& - TA-15B&&& Smart Power Test Adapter
& - Smx-32B& Matrix
& - Smx-48B& Matrix
& - MSE&&&&&&&& Mixed Signal Extension Unit w/ 16 Digital Pins
& - DSP M3000&& Digital Signal Processing Unit
- SZ M3000 Tester spares - please ask for details !
- SZ M3020 Test System
- SZ M3650 Test System
- SZ R300 System Controller for M36xx Test Systems
- TACT 7330 CP Tester&
- Tanisys Sigma 3 M600 DDR2 Tester
- Taylor Electronics 8340 Linearity Test System (for potentiometers
- Teradyne A360 Mixed Signal Test System
- Teradyne A360 Mixed Signal Test System - for spares only
- Teradyne A540 Mixed Signal Test System
- Teradyne A550 Mixed Signal Test System
- Teradyne A563 Mixed Signal Test System
- Teradyne A565 Mixed Signal Test System
- Teradyne A565IH Test System
- Teradyne A567 PowerPort Mixed Signal Test System
- Teradyne A575 Test System - for spares
- Teradyne A575 Mixed Signal Test System
- Teradyne A580 Mixed Signal Test System
- Teradyne A585 Mixed Signal Test System
- Teradyne A585-M2 Mixed Signal Test System
- Teradyne A585 Mixed Signal Test System - parts machine
- Teradyne Catalyst Test System
- Teradyne Catalyst Test System, 200 MHz, 256 pins, 16 Meg LVM
- Teradyne Catalyst Test System, 200 MHz, 288 pins, 16 Meg LVM
- Teradyne Catalyst Test System, 200 MHz, 320 pins, 16 Meg LVM
- Teradyne Catalyst Test System, 200 MHz, 384 pins
- Teradyne Catalyst RF Test System
- Teradyne Catalyst Test System w/ TEL P8 Waferprober
- Teradyne Integra Flex Test System
- Teradyne Integra Flex RF Test System
- Teradyne IP750EPM Test System
- Teradyne J937 Memory Test System, 50 MHz&
- Teradyne J937 Memory Test System, 100 MHz&
- Teradyne J971 Test System - for spares
- Teradyne J971 VLSI Test System
- Teradyne J971-100 VLSI Test Systems
- Teradyne J971 SP VLSI Test System
- Teradyne J973 VLSI Test System
- Teradyne J973 Test System - for spares
- Teradyne J973 AP VLSI Test System
- Teradyne J973 AP+ VLSI Test System
- Teradyne J994 Memory Tester
- Teradyne J995 Memory Tester
- Teradyne J996 Memory Tester
- Teradyne J996 FA Memory Tester
- Teradyne J997 Memory Tester
- Teradyne Pegasus Memory Tester
- Teradyne Tiger SOC Test System
- Teradyne Tiger Test System, 300 MHz, 1024 pins
- Teradyne UltraFLEX Test System, 384 pins
- Teradyne UltraFLEX Test System, 1024 pins
- Teradyne Tester spares - please ask for details !
- TESEC 7804-KT / 7509-PU Thermal Resistance Tester
- Third Millennium Tester (3MTS) Model 3M20-S2 w/ Test Head and
Manipulator
- Testronics Model 201A Discret Component Testsystem
- UNi460 Tester for DDR / DDR2 Memory Modules
- VTT 7100 Tester, 128 pins
- Yokogawa ST6730 LCD Driver Test System
- Yokogawa ST6730 LCD Driver Test System w/ TEL P8 XL Prober
- Yokogawa TS67LTH Tester
- Yokogawa TS70 Tester, 256 pins
- Yokogawa TS670 Tester&
- Yokogawa TS1000 Tester
- Yokogawa TS6000-Mix Tester
- Yokogawa TS6000 Tester
- Yokogawa TS6650 Tester&
- Yokogawa TS6661 Tester w/ TEL P-8 Prober
- Yokogawa TS6700 Tester&
- AMAT 9500 XR Ion Implanter, 6&
- AMAT Quantum III LEAP Ion Implanter (Low Energy), 12&
- AMAT Quantum X High Current Ion Implanter, 12&
- AMAT XR120 Ion Implanter
- AMAT XR Ion Implanter
- AMAT XR200 Ion Implanter Kit, 6&
- Axcelis/Eaton NV GSD-80 High Current Ion Implanter, 6&
- Axcelis/Eaton NV GSD-HE High Energy Ion Implanter, 8&
- Axcelis/Eaton NV GSD VHE Very High Energy Ion Implanter, 8&
- Axcelis/Eaton NV GSD 200 High Current Implanter, 8&
- Axcelis/Eaton NV GSD 200E High Current Implanter, 8&
- Axcelis/Eaton NV GSD 200E2 80 keV High Current Implanter, 8&
- Axcelis Optima HD High Dose Ion Implanter, 12&
- Eaton Nova NV 10-80 Ion Implanter, 6&
- Eaton Nova NV 6200A Medium Current Ion Implanter, 6&
- Eaton Nova NV 6200AV Ion Implanter, 5&
- Eaton Nova NV 8250 Medium Current Ion Implanter, 8&
- Nissin Exceed 2000 Medium Current Ion Implanter, 8&
- SEN Eaton Nova GSD III-LE High Current Ion Implanter, 8&
- SEN Eaton GSD-HE Ion Implanter, 8&
- Varian CF3000 Ion Implanter, 5&&
- Varian 160XP High Current Ion Implanter, 6&
- Varian 350D Ion Implanter, 4&&
- Varian 350DE Medium Current Ion Implanter, 6&&
- Varian 80 XP High Current Ion Implanter, 6&
- Varian E220 Ion Implanter, 6&&
- Varian E220 HP Ion Implanter, 8&&
- Varian E220 EHP Ion Implanter
- Varian E500 HP Ion Implanter, 6&
- Varian E500 HP Ion Implanter, 8&
- Varian E500 EHP Medium Current Ion Implanter, 8&
- Varian E1000 Implanter, 8&
- Varian E1000 Implanter - system modules
- Varian E1000HP Implanter
- Varian VIISion 80 High Current Ion Implanter,
- Varian VIISta 80 Medium Current Ion Implanter (Low Energy), 8&
- Varian VIISta 80 Medium Current Ion Implanter (Low Energy), 8& - parts
- AB Lasers Starmark Laser Scribe
- Baasel Laser SW250 Stacker Machine&
- CMS Oxide Laser
- CTI Laser Marking System - for plastics, metals, glass etc.
- ESI 4300 Laser Trimming System w/o Measurement Unit
- ESI 4340 Laser Trimming System
- ESI 4990 Laser Trimming System
- ESI 9200 HT Plus Laser Repair System
- ESI 9250 Laser Repair System
- ESI 9275 Laser Repair System
- ESI 9300 Laser Repair System
- ESI 9350 Laser Repair System
- ESI 9800 Laser
- ESI 9820 Laser Processing System, 12&
- ESI 9825 Laser Processing System, 12&
- ESI 9830 Laser Processing System, 12&
- ESI 9835 Laser Processing System
- GSI Lumonics Lasermark 960&&
- GSI Lumonics Lasermark 962R SSM&
- GSI Lumonics Lasermark 968 VHS&
- GSI Lumonics HM1400L Laser Marking System
- GSI Lumonics JK300HP Laser
- GSI Lumonics Lightwriter SPe Laser Marking System
- GSI Lumonics M218 Laser Trimmer
- GSI Lumonics M310 Laser Trimmer
- GSI Lumonics M325 Laser Repair System&
- GSI Lumonics M340 Laser Repair System&
- GSI Lumonics M430 Laser Repair System&
- GSI Lumonics WH 4100 Die Marking System, 6& and 8&
- Innolas ILS 005P CO2 Laser Cutting System&
- IPTE BAT 1000L Laser Unit
- LEMI SC-7392S Laser Scriber
- Micronic Laser System LRS 600-10 Exposure Laser
- Pacific Laser 1510QTG Nd YAG Laser System
- Preco Flex Star Laser Drill and Cutter
Laser Marker
- CMS Diode Pumped Laser Marking System
- Contec Laser Marker
- Control Microsystems EO 108 Laser Marker
- Hanmi Laser Mark-3000T, 2 heads
- Innolas ILC3000DPS Laser Marker, 12&
- Keyence ML-G9310 High-Power CO2 Laser Marker
- Markem 200AD Marking Machine
- Markem Q2000 Spares
- Rofin-Baasel Starmark Compact Laser Marker
- Rofin-Baasel Starmark SMP 100 II Laser Marker
- Rofin-Sinar DY 016 Laser Marker
- Rofin-Sinar Starmark 65 Laser Marking System
- View Engineering / General Scanning LDP-100MQ YAG Laser Marker&
Lead Inspection and Conditioning&
- ICOS CI-3050 Lead Inspection System
- ICOS CI-8250 Lead Inspection System, BGA/GW, tray to tray
- ICOS CI-8450 Lead Inspection System
- ICOS CI-8450 Lead Inspection System - parts machine
- ICOS CI-9250 Lead Inspection System
- ICOS CI-9450 Lead Inspection System, tape & reel
- OptiViz Opti 3D-8000 Lead Inspection System
- RVSI GS-7100 Lead Scanner w/ BGA Capability
- RVSI / VANGUARD LS-3000 Lead Inspection System
- RVSI LS-3700 Lead Inspection System
- RVSI LS-3700DB Lead Inspection System
- RVSI LS-3900DB Lead Inspection System
- RVSI LS-3950DB Lead Scanner
- RVSI LS-7100 Lead Inspection System
- RVSI LS-7700 Lead Scanner
- RVSI LS-7700 Lead Scanner for BGA, QFP and TSSOP
- RVSI LS-7700 Lead Scanner with Tape & Reel
- RVSI WS-2510 Wafer Bump Inspection System&
- STI AT-4070 3D Lead Inspection System
- Yasunaga LI-750T IC Lead Scanner
Lead Straightener
- ATM AT-600 Dual In-Line Lead Straightener
- ATM AT-604 Lead Straightener
- ATM ATS-1245 Lead Straightener for SO-300mil&
Manipulators
- Agilent 93000 SOC Tester Manipulator for Large Test Head
- Electroglas HLM Testhead Manipulator
- ESMO Manipulator Model Phoenix LR for Teradyne Catalyst
- ESMO Atlas Manipulator for Credence Sapphire&
- ESMO Hercules Manipulator for Credence Sapphire&
- Manipulator for Eagle ETS-364 Tester
- Microhandling MP450 Manipulator
- Microhandling MP921 Manipulator for Teradyne Catalyst
- Reid-Ashman Manipulator for SZ M-3650 Testsystem
- Reid-Ashman OM580B Manipulator for Teradyne A5xx Tester
Service-Manuals available for:
- KLA-Tencor 1007 Prober
- KLA-Tencor Surfscan 7000
- Microhandling MH 245 / 250 Handler
- Microhandling MH 200 Handler
- Microhandling MH 300 Handler
- Multitest MT8305 Handler
- Aseco S 13 X
- Reid Ashman Testlink 50
- Thermonics T2420
- Thermonics T2500
- Temptronic TP0314
- EG-1034X Prober
- EG-2001 Prober
- Rucker & Kolls 680 Prober
- TSK APM-90 Prober
- SZ M3000 Testsystem
Measuring Instruments
- Advantest R3265A Spectrum Analyzer, 100 KHz ... 8 GHz&
- Agilent 33120A Arbritary Waveform Generator
- Agilent 4145B Semiconductor Parameter Analyzer
- Agilent 4155B Precision Semiconductor Parameter Analyzer
- Agilent / HP 5334 B Universal Counter
- Agilent / HP 6448B DC Power Supply 0-600V, 0-1.5A
- Agilent / HP 6626A Power Supply
- Agilent / HP 6653A DC Power Supply
- Agilent / HP 6672A DC Power Supply
- Agilent 6890 Gas Chromatograph
- Agilent / HP /2 Digit Multimeter
- Agilent 8133AR Pulse Generator
- Agilent 81250A ParBert System
- Agilent 83480A Digital Communications Analyzer&
- Agilent E4406A RF Network Analyzer
- Agilent E4420B RF Signal Generator (250 kHz - 2 GHz) w/ 1EM option
- Agilent E4438C ESG Vector Signal Generator w/ options 002, 005,
402, 504, 1E5
- Agilent E4440A PSA Series High-Performance Spectrum Analyzer,
3Hz - 26.5GHz
- Agilent E5071C Baw Filter Tester
- Agilent E5504B Phase Noise Measurement Solution
- Agilent G2565BA DNA Microarray Scanner
- Agilent HP 70843B Error Performance Analyzer
- Agilent Infiniium 8104A MSO Oscilloscope
- Agilent J6801B Distributed Network Analyser
- Agilent J6828A 4-Port OC-3/STM-1 Line Interface Module
- ANDO AQ6310 Optical Spectrum Analyzer
- Anritsu MG3696B Signal Generator, 2 to 65 GHz
- Anritsu MG9638A Tunable Laser Source,
- Audio Precision ATS-2 System
- Azimuth 801W Scalable System Platform for Wi-Fi and WLAN Test
- Bertan Model 205A-05R High Voltage Power Supply
- Bertan Series 225-01R High Voltage Power Supply
- Bertan Series 225-05R High Voltage Power Supply
- Diamond Fiber Microscope
- Delta Elektronika SM V60/A20 Power Supply
- DiCon – Portable Multi-Channel Fiberoptic Switch
- DiCon GP700 – General Purpose Fiberoptic Switch
- Elgar 251B-T AC Power Source&
- Elgar AT8A-01-04-0024390 Programmable DC Power Supply&
- Ericsson FSU975 Fusion Splicer
- Five LightCom 1550nm Optical Fiber Amplifer
- Five LightCom
nm Erbium ASE Source
- Fluke-TI32 9Hz Thermal Imager
- Fujikura FSM-50S Fusion Splicer
- JDS Uniphase SB-Series Fiberoptic Switch
- Keithley 238 High Current Source - Measure Unit
- Keithley 590 CV Analyzer
- Keithley 595 Quasistatic CV Meter
- Keithley 4200 SCS Semiconductor Parameter Analyzer&
- Kepco ATE 6-50M Power Supply Automatic Crossover
- Lambda LCS-D-01 Regulated Power Supply&
- Lambda LM Regulated Power Supply&
- Lecroy SDA 9000 4 Channel 9 GHz Serial Data Analyzer
- LeCroy WavePro 960 2Ghz Oscilloscope
- Marconi 2024
Generator&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&
- Marconi 2965A Radio Test
Set&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&
- Newport 835 Optical Power Meter
- NetTest - JDS Uniphase /PS3 Multimeter
- NetTest - OSICS Source w/ 4 ea. DFB
- Nikon 6D Autocollimator
- North Atlantic 2250 Digital Analyzing Voltmeter
- OTC 1305 Laser Source
- Photonetics WIN R-15 Coherent Optical Domain Reflectometer
- Powerstat L116B Transformer
- PRO-730 Fusion Splicer
- Profile Pro 8000 Modular Chassis
- Racal-Dana 1991 Universal Counter
- Racal Instruments x128 Two Wire Scanner Multiplexer 2
- Rifocs 575L Fiber Optic Optical Power Meter
- Rohde & Schwarz CBT32 BlueTooth Tester
- Rohde & Schwarz CMT55 Analog Communication Analyzer w/ options
B1, B4, B7, B9&
- Rohde & Schwarz CMT84 Digital Radio Communications Tester
- Rohde & Schwarz CMU300 Radio Communications Tester w/
- Rohde & Schwarz E-Line Chamber
- Rohde & Schwarz FSiQ 26 w/ B13, B16, B70, K11, K71, K72 options
- Rohde & Schwarz FSP13 Spectrum Analyzer 9 kHz … 13.6 GHz
- Rohde & Schwarz NAS/NAS-Z5 Directional Power Meter&&&&
- Rohde & Schwarz SME-03 w/ B1/B2/B5/B8/B11 options
- Rohde & Schwarz SMIQ-03 w/ B5/B10/B11/B42 options
- Rohde & Schwa

我要回帖

更多关于 gta5修改器ktwind 的文章

 

随机推荐