数字逻辑拔河游戏机的设计程序,,,,,

15人电子拔河游戏机设计_百度文库
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
15人电子拔河游戏机设计
上传于|0|0|文档简介
&&电子拔河游戏机是一种能容纳甲乙双方参赛游戏电路。由一排发光二极管表示拔河的“电子绳”。由甲乙双方通过按纽开关使发光二极管向一方的终点延伸,当延伸到某方的最后一个发光二极管时,
阅读已结束,如果下载本文需要使用1下载券
想免费下载本文?
定制HR最喜欢的简历
下载文档到电脑,查找使用更方便
还剩16页未读,继续阅读
定制HR最喜欢的简历
你可能喜欢&>&&>&&>&&>&数字逻辑电路课程设计报告 拔河游戏机
数字逻辑电路课程设计报告 拔河游戏机
上传大小:395KB
1、任务与要求:
电子拔河游戏机是一种能容纳甲乙双方参赛或甲乙双方加裁判的三人游戏电路。由一排LED发光二极管表示拔河的的“电子绳”。由甲乙双方通过按纽开关使发光的LED管向一方的终点延伸,当延伸到某方的最后一个LED管时, 则该方获胜,连续比赛多局以定胜负。显示器显示胜者的盘数。
2、基本要求如下:
(1)比赛开始时,由裁判下达命令后,甲乙双方才能输入信号,否则,由于电路具有自锁功能,使输入信号无效。(2)“电子绳”到少由15个LED管构成, 裁判下达“开始比赛”的命令后,位于“电子绳”中点的LED点亮。甲乙双方通过按键输入信号,使发亮的LED管向自己一方移动,并阻止其向对方延伸。当从中点至自己一方终点的LED管全部点亮时,表示比赛结束。这时,电路自锁,保持当前状态不变,除非由裁判使电路复位。
3、方案论证与设计
电子拔河游戏机是一种能容纳甲乙双方参赛或甲乙双方加裁判的三人游戏电路。由一排LED发光二极管表示拔河的“电子绳”。游戏双方各拥有一个比赛时使用的按钮,当参与者不停的按动按钮的时候就产生脉冲,谁快产生的脉冲就多,这样由甲乙双方通过按扭开关使发光的LED管向一方的终点延伸,双方通过按扭输入信号,使得计数电路实现计数功能,当延伸到某方的最后一个LED管时, 则该方获胜,连续比赛多局以定胜负。通过设计多谐振荡器提供输入脉冲,用可逆计数器,译码器,将甲乙双方的输入转换为脉冲,再经过译码,显示译码器和七段数码管实现电路的记分功能。用开关设计的裁判可以实现电路的记分和清零功能。
1 概述………………………………………………………………………… ⑴
1.1设计思想及说明……………………………………………………………⑴
2 系统总体方案及硬件设计……………………………………………………⑸
2.1实验设备及各器件功能………………………………………………⑸~⑼
2.2设计步骤………………………………………………………………⑼~⑿
2.3实验电路框图………………………………………………………………⒀
2.4 作品介绍……………………………………………………………………⒀
3心得体会………………………………………………………………………⒁
4 参考文献………………………………………………………………………⒂
附1:系统原理图………………………………………………………………⒃...展开收缩
综合评分:4(45位用户评分)
收藏((4))
所需积分:2
下载次数:359
审核通过送C币
创建者:wyx100
创建者:nigelyq
创建者:huangyueranbbc
课程推荐相关知识库
上传者其他资源上传者专辑
课程资源热门标签
VIP会员动态
android服务器底层网络模块的设计方法
所需积分:0
剩余积分:720
您当前C币:0
可兑换下载积分:0
兑换下载分:
兑换失败,您当前C币不够,请先充值C币
消耗C币:0
你当前的下载分为234。
数字逻辑电路课程设计报告 拔河游戏机
会员到期时间:
剩余下载次数:
你还不是VIP会员
开通VIP会员权限,免积分下载
你下载资源过于频繁,请输入验证码
你下载资源过于频繁,请输入验证码
您因违反CSDN下载频道规则而被锁定帐户,如有疑问,请联络:!
若举报审核通过,可奖励20下载分
被举报人:
举报的资源分:
请选择类型
资源无法下载
资源无法使用
标题与实际内容不符
含有危害国家安全内容
含有反动色情等内容
含广告内容
版权问题,侵犯个人或公司的版权
*详细原因:电子拔河游戏机课程设计报告_百度文库
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
电子拔河游戏机课程设计报告
上传于|0|0|文档简介
&&电子拔河游戏机课程设计报告
阅读已结束,如果下载本文需要使用2下载券
想免费下载本文?
定制HR最喜欢的简历
下载文档到电脑,查找使用更方便
还剩7页未读,继续阅读
定制HR最喜欢的简历
你可能喜欢bahe 在EPM7128板上实现一个拔河游戏机,k1,k5是双方控制按钮,led灯模拟绳子状态 VHDL-FPGA-Verilog 238万源代码下载-
&文件名称: bahe
& & & & &&]
&&所属分类:
&&开发工具: VHDL
&&文件大小: 75 KB
&&上传时间:
&&下载次数: 12
&&提 供 者:
&详细说明:在EPM7128板上实现一个拔河游戏机,k1,k5是双方控制按钮,led灯模拟绳子状态-The realization of a tug of war in EPM7128 board game, k1, k5 is both control buttons, led rope lights simulate the state
文件列表(点击判断是否您需要的文件,如果是垃圾请在下面评价投诉):
&&bahe\bahe.asm.rpt&&....\bahe.cdf&&....\bahe.done&&....\bahe.fit.eqn&&....\bahe.fit.rpt&&....\bahe.fit.summary&&....\bahe.flow.rpt&&....\bahe.map.eqn&&....\bahe.map.rpt&&....\bahe.map.summary&&....\bahe.pin&&....\bahe.pof&&....\bahe.qpf&&....\bahe.qsf&&....\bahe.qws&&....\bahe.sim.rpt&&....\bahe.tan.rpt&&....\bahe.tan.summary&&....\bahe.vhd&&....\bahe.vhd.bak&&....\bahe_assignment_defaults.qdf&&....\cmp_state.ini&&....\db\add_sub_5ph.tdf&&....\..\add_sub_9ph.tdf&&....\..\add_sub_a9h.tdf&&....\..\add_sub_rnh.tdf&&....\..\bahe.db_info&&....\..\bahe.project.hdb&&....\..\bahe_cmp.qrpt&&....\..\prev_cmp_bahe.asm.qmsg&&....\..\prev_cmp_bahe.fit.qmsg&&....\..\prev_cmp_bahe.map.qmsg&&....\..\prev_cmp_bahe.qmsg&&....\..\prev_cmp_bahe.tan.qmsg&&....\db&&bahe
&近期下载过的用户:
&相关搜索:
&输入关键字,在本站238万海量源码库中尽情搜索:
&[] - 用VHDL编写的拔河游戏控制程序,具有比较好演示效果
&[] - 拔河游戏机的逻辑电路设计和实现
1. 游戏分为甲方和乙方,用按键速度来模拟双方力量以点亮的发光二极管的左右移动来显示双方的比赛状况。
2. 用15个(或9个)发光二极管组成一排,比赛开始时,中间二极管点亮。以此为拔河的中心点,甲乙双方各持一键,比赛开始后,各自迅速不断地按动按键,以此产生脉冲
&[] - 拔河游戏机需要9个发光二极管排成一行,开机后只有中间一个亮点,以此作为拔河的中间线,游戏双方各持一个按键,迅速、不断地按动产生脉冲,哪方按得快,亮点就向哪方移动,每按一次,亮点移动一次。移到任一方二极管的终端,该方获胜,此时双方按键均无作用,输出保持,只有经复位后才能使亮点恢复到中心线。
&[] - 数字电路EDA入门-VHDL程序实例集
北京邮电大学出版社
该文档是基于QUARTUS2_6.0的Verilog试验例程,其中附有工程源码,对于初学者是最好的例程!它是本人花费一年多自学后写的例程,以便初学者入门,里面附有很多图解,很详细!基于数字电路的拔河游戏机课程设计_图文_百度文库
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
基于数字电路的拔河游戏机课程设计
上传于|0|0|文档简介
&&主要应用数字芯片,简单SR触发器的应用,译码器的应用
阅读已结束,如果下载本文需要使用1下载券
想免费下载本文?
定制HR最喜欢的简历
下载文档到电脑,查找使用更方便
还剩15页未读,继续阅读
定制HR最喜欢的简历
你可能喜欢

我要回帖

更多关于 10选3数字游戏机 的文章

 

随机推荐