我的vivado2015.1 license的LICENSE是不是有问题,为什么编译的时候说这个东西

A和X没发一个版本&&就说效率提高多少多少
实际用下来就是那回事
vivado效率是比ise高
但前提是机器给力
UID827167&帖子34&精华0&积分1473&资产1473 信元&发贴收入205 信元&推广收入0 信元&附件收入854 信元&下载支出763 信元&阅读权限30&在线时间51 小时&注册时间&最后登录&
嗯,奇怪的是Vivado到现在还是只支持7系的板子,应用面比较窄啊,大部分设计根本不需要用7系的板子
UID1277981&帖子3&精华0&积分56&资产56 信元&发贴收入15 信元&推广收入0 信元&附件收入0 信元&下载支出14 信元&阅读权限10&在线时间8 小时&注册时间&最后登录&
请问楼主,smartguide怎么用,我现在用的是ISE14.5,是右键top文件勾上smartguide就可以了吗?在网上看了些资料都是针对以前版本支持partition写的,希望楼主指点一二。
UID1065958&帖子122&精华0&积分3339&资产3339 信元&发贴收入610 信元&推广收入5 信元&附件收入62 信元&下载支出2684 信元&阅读权限50&在线时间152 小时&注册时间&最后登录&
关注这个问题
UID1310691&帖子30&精华0&积分0&资产0 信元&发贴收入150 信元&推广收入0 信元&附件收入0 信元&下载支出257 信元&阅读权限10&在线时间0 小时&注册时间&最后登录&
大有收获。
UID951516&帖子29&精华0&积分83&资产83 信元&发贴收入145 信元&推广收入0 信元&附件收入0 信元&下载支出348 信元&阅读权限10&在线时间17 小时&注册时间&最后登录&
跟着学习学习
UID117644&帖子117&精华0&积分228&资产228 信元&发贴收入610 信元&推广收入0 信元&附件收入0 信元&下载支出759 信元&阅读权限20&在线时间42 小时&注册时间&最后登录&
关注这个问题。
UID127&帖子1749&精华0&积分14375&资产14375 信元&发贴收入380 信元&推广收入0 信元&附件收入2109 信元&下载支出768 信元&阅读权限70&在线时间36 小时&注册时间&最后登录&
& &现在的7系基本可以替代以前所有的型号,以后谁还用那些老型号。
UID198186&帖子253&精华0&积分822&资产822 信元&发贴收入1430 信元&推广收入0 信元&附件收入1066 信元&下载支出1724 信元&阅读权限30&在线时间130 小时&注册时间&最后登录&
关注这个问题。
[通过 QQ、MSN 分享给朋友]你的位置:
Vivado 2015之后的版本是不是只支持64位了?
目前在我的32位Win7电脑上装的Vivado版本是2014.3,打开一个技术支持给的范例工程是用2015.2版本创建的,用2014打不开。
结果在网上搜了一下,发现2015之后的版本无论是Windows还是Linux都是64位的,是不是Xilinx不再出支持32位的版本了?第三届·无线通信技术研讨会
2015o第二届中国IoT大会
ETFo智能硬件开发技术培训会
ETFo第三届&消费电子电池管理系统技术论坛
ETFo智能安防技术论坛
成都&智能工业创新应用论坛
移入鼠标可放大二维码
Xilinx ISE Design Suite 14.2 安装图解 - 全文
来源:本站整理
作者:Triquinne日 11:59
[导读] 电子发烧友网核心提示 :Xilinx ISE 14.2安装指南,包括Xilinx ISE 14.2软件下载、Xilinx ISE 14.2软件安装、Xilinx ISE 14.2相关产品介绍、Xilinx ISE软件激活、Xilinx IS
  电子发烧友网核心提示:Xilinx ISE 14.2安装指南,包括Xilinx ISE 14.2软件下载、Xilinx ISE 14.2软件安装、Xilinx ISE 14.2相关产品介绍、Xilinx ISE软件激活、Xilinx ISE14.2软件启动和Xilinx ISE 14.2新建工程试用。
  1.Xilinx ISE 14.2软件下载
  直接在官网下载全功能版本:8.2G、支持Windows 和Linux操作系统,Xilinx ISE 14.2软件下载网址及链接如下所示:
  /support/download/index.htm
  2. Xilinx ISE 14.2软件安装
  1) 打开压缩包并解压,可以看到如图所示的xsetup文件:
  2) Xsetup启动安装模式
  3) 一直点&accept&和&next&
  4) 选择需要安装的产品
  Xilinx ISE 14.2相关产品介绍
  ISE大家都知道,14.2更新了相关的器件吧。
  /products/design-tools/ise-design-suite/index.htm
  /products/design-tools/vivado/index.htm
  再次默认选择ISE系统版本以及Vivado。
  5) 选择您需要安装的部件,如果不清楚的,也可以全部安装!
  6) 安装的过程会很漫长,静静等待吧。
  7) 安装好了一部分后,桌面就会显示一些图标:
  8) 然后弹出来窗口让你安装WinPcap,按以下步骤安装即可。
  9) 然后,然后安装就继续了。。。跳出窗口Matlab
  先OK,如后再说
  10) 然后,然后就装完了,Finish
  11) 这个配置的电脑,也得花了这么多的时间
  4. Xilinx ISE软件激活
  1) 选择本地已经存在的License
  2) 复制 License
  Refresh一下。。就是更新license 了。
  5. Xilinx ISE软件启动
  6. Xilinx ISE 新建工程展示
  可以先用自带的Simulation系统。各种组件的使用,可以自己尝试着去做。
  编译的时候会很慢。就这样Xilinx ISE 14.2的整个下载、安装、使用过程全都介绍完了。
fpga开发板相关文章
fpga开发板相关下载
Vivado相关文章
Vivado相关下载
ISE相关文章
ISE相关下载
Xilinx相关文章
Xilinx相关下载
技术交流、积极发言! 发表评请遵守相关规定。
现在的中国厂商已经不仅仅满足于做白牌厂商,特别是在物联网、创客风潮来袭之时,都期望以之前积累的制造技术与经验抢占风口好位置,正纷纷建立起...
据悉,风力发电机根据运行特征和运行技术一般分为恒速风力发电机和变速风力发电机。恒速风力发电系统具有结构简单、成本低、过载能力强以及运行可...
创新实用技术专题
Copyright &
.All Rights Reserved二次元同好交流新大陆
扫码下载App
汇聚2000万达人的兴趣社区下载即送20张免费照片冲印
扫码下载App
温馨提示!由于新浪微博认证机制调整,您的新浪微博帐号绑定已过期,请重新绑定!&&|&&
LOFTER精选
网易考拉推荐
用微信&&“扫一扫”
将文章分享到朋友圈。
用易信&&“扫一扫”
将文章分享到朋友圈。
软件版本:ISE Design Suit 14.2 ; Vivado 2012.2开发套件:ZEDBoard& & & & 在Kubuntu12.04下安装ISE其实并不是非常麻烦,关键是使用Xilinx License Configuration Manager(xlcm)激活license非常麻烦,首先是Get free webpack license按钮不能链接到官方网页上,即鼠标点“connect now”没有反映,点“save”存储链接之后,弹出对话框让我选择存储路径,然后对话框就卡住没反应了,过一会就崩溃了。终端弹出如下信息:kfmclient: /opt/Xilinx/14.2/ISE_DS/common//lib/lin/libstdc++.so.6: version `GLIBCXX_3.4.9' not found (required by /usr/lib/libkdecore.so.5)kfmclient: /opt/Xilinx/14.2/ISE_DS/common//lib/lin/libstdc++.so.6: version `GLIBCXX_3.4.11' not found (required by /usr/lib/libkdecore.so.5)kfmclient: /opt/Xilinx/14.2/ISE_DS/common//lib/lin/libstdc++.so.6: version `GLIBCXX_3.4.11' not found (required by /usr/lib/libstreamanalyzer.so.0)kfmclient: /opt/Xilinx/14.2/ISE_DS/common//lib/lin/libstdc++.so.6: version `GLIBCXX_3.4.9' not found (required by /usr/lib/libstreamanalyzer.so.0)kfmclient: /opt/Xilinx/14.2/ISE_DS/common//lib/lin/libstdc++.so.6: version `GLIBCXX_3.4.15' not found (required by /usr/lib/libstreamanalyzer.so.0)kfmclient: /opt/Xilinx/14.2/ISE_DS/common//lib/lin/libstdc++.so.6: version `GLIBCXX_3.4.9' not found (required by /usr/lib/libstreams.so.0)kfmclient: /opt/Xilinx/14.2/ISE_DS/common//lib/lin/libstdc++.so.6: version `GLIBCXX_3.4.11' not found (required by /usr/lib/libstreams.so.0)kfmclient: /opt/Xilinx/14.2/ISE_DS/common//lib/lin/libstdc++.so.6: version `GLIBCXX_3.4.15' not found (required by /usr/lib/libstreams.so.0)这方面国内的资料非常少,只能根据终端中报错信息google国外的网站,找到一个论坛,有个网友遇到和我一样的问题,解决方法是将libstdc++.so.6链接到系统中用的库,但是并没有能够解决问题。第一天装了好几次ise,结果都一样看。第二天,突然有了一点想法,之前在Ubuntu12.04上安装的时候都是好好的,现在换成KUbuntu12.04,也就是很有可能是换了桌面环境的缘故,于是马上Google"kde xilinx ise",这下找到两个网站:都是讲ISE安装在KDE桌面环境下的问题,究其原因是ISE用的QT版本相比KUbuntu12.04上KDE用的QT版本来说(PS:KDE使用QT写出来的)太老了,但是ISE在使用过程中会使用QT_PLUGIN_PATH环境变量来查找要用的东西,这时候就会去调用KDE中的QT_PLUGIN_PATH,于是新老版本之间的兼容性问题产生了,以上两个网页都提到了将QT_PLUGIN_PATH环境变量unset掉就可以了,即在终端中:$ unset QT_PLUGIN_PATH现在打开Xilinx License Configuration Manager(xlcm)之后,弹出的对话框不会卡住,虽然上面那个libstdc++.so.6的链接库问题没有解决,即“connect now”不管用,不过我们可以将申请license用的网页链接保存下来再用浏览器打开。另外为了最大程度保持兼容性,安装时选择中文语言的在打开Xilinx一系列工具之前最好将语言设置成英文,即在终端中:$ export LANG="en"OK,license的问题解决了。——————————————————————————————————————————————————————————————& & & & 在综合(Run Synthesis)的过程中会遇到下面这个error:[Edk 24-166] (generate_target): Failed to execute XPS script. Please check for any errors reported by the XPS application in the console: [/zynq/prjdir/HelloZynq/HelloZynq.srcs/sources_1/edk/system/__xps/pa/_system_synth.tcl]这个问题网上比较普遍,究其原因是在综合的过程中ISE等软件会调用gmake(网友的解释是GNU make),但是在linux中“GNU make”的软件名称就是make,Xilinx真是够雷人的,于是我们只需要添加一个软链接将gmake链接到make就可以了,操作如下:$ cd /usr/bin$ sudo ln -s make gmake即可解决问题。____________________________________________________________________________________________________________& & & & 关于USB JTAG驱动的安装:安装过程可以参考这个网页:。简而言之人就是:cd /&Install Path&/Xilinx/&Version Number&/ISE_DS/common/bin/lin/digilent比如我是安装默认路径安装的,那么就是:cd /opt/Xilinx/14.2/ISE_DS/common/bin/lin/digilent/如果是64位的话把lin换成lin64,然后在当前目录下执行安装脚本sudo ./install_digilent.sh不过到这里还没有完全结束,我们还需要修改udev规则,上面的安装脚本会在/etc/udev/rules.d/目录下安装52-digilent-usb.rules,其实里面就一句话,其他的都是注释,内容如下:SYSFS{idVendor}=="1443", MODE="666",GROUP="user"ACTION=="add", SYSFS{idVendor}=="0403", SYSFS{manufacturer}=="Digilent", MODE="666", RUN+="/usr/local/sbin/dftdrvdtch %s{busnum} %s{devnum}"主要参考这篇博客:,我把上面两条语句注释掉,然后加上下面这条:SUBSYSTEM=="usb", ATTRS{idVendor}=="0403", ATTRS{idProduct}=="6014", GROUP="user", MODE="0666"具体的id号可以在终端下输入一下命令查找对应USB设备的相关信息:lsusb接下来就是使得配置生效,万无一失的方法是重启系统。如果不想重启系统的话,拔插一次USB线即可。因为udev 会自动检测规则文件的修改,所以更改不需要重启 udev 就会立即生效。但是规则不会被已有设备重新触发,所以热插拔设备(如USB设备等)可能需要重新连接才会使新规则生效,所以我们要把USB线拔插一次。现在在impact以及Xilinx SDK下面都可以对FPGA进行配置了。&impact下识别成功Xilinx SDK下进行PROGRAM FPGA&____________________________________________________________________________________________________________关于Vivado HLS,由于Ubuntu不是Xilinx官方支持的Linux发行版本,因此会难免出现很多兼容性问题,比如在VivadoHLS的使用过程中进行C仿真时会报告如下一些错误:错误:/opt/Xilinx/Vivado_HLS/2013.1/Linux_x86/tools/gcc/bin/../lib/gcc/i686-pc-linux-gnu/4.6.3/include-fixed/features.h:339:25: fatal error: sys/cdefs.h:没有那个文件或目录分析:编译过程中会寻找系统库函数,由于ubuntu中cedfs.h并不是在/usr/include/sys目录下,而是在/usr/include/i386-linux-gnu/sys目录下(我的系统是32位,如果是64位linux的话那么是在/usr/include/x86_64-linux-gnu/sys目录下)解决方法:这里我们可以添加一个软链接将/usr/include/sys/cdefs.h链接到/usr/include/i386-linux-gnu/sys/cdefs.h不过鉴于这里的路径问题不只这一个,所以我干脆直接把整个文件夹的路径链接过去:$ sudo ln -s /usr/include/i386-linux-gnu/sys/ /usr/include/sys$ sudo ln -s /usr/include/i386-linux-gnu/gnu/ /usr/include/gnu$ sudo ln -s /usr/include/i386-linux-gnu/bits/ /usr/include/bits错误:/usr/bin/ld: error: cannot open crt1.o: No such file or directory/usr/bin/ld: error: cannot open crti.o: No such file or directory/usr/bin/ld: error: cannot open crtn.o: No such file or directory分析:同样是与上面一样的路径问题,ubuntu中crt1.o, crtn.o, crti.o在/usr/lib/i386-linux-gnu中,但是vivado hls会去/usr/lib中寻找(我的系统是32位,如果是64位linux的话那么文件是在/usr/lib/x86_64-linux-gnu目录下)解决方法:软链接,同上相似$ sudo ln -s /usr/lib/i386-linux-gnu/crt1.o /usr/lib$ sudo ln -s /usr/lib/i386-linux-gnu/crtn.o /usr/lib$ sudo ln -s /usr/lib/i386-linux-gnu/crti.o /usr/lib
阅读(6046)|
用微信&&“扫一扫”
将文章分享到朋友圈。
用易信&&“扫一扫”
将文章分享到朋友圈。
历史上的今天
在LOFTER的更多文章
loftPermalink:'',
id:'fks_',
blogTitle:'KUbuntu12.04下安装Xilinx ISE+Vivado',
blogAbstract:'硬件环境:HP CQ45 308操作系统:KUbuntu12.04 LTS 32bit软件版本:ISE Design Suit 14.2 ; Vivado 2012.2开发套件:ZEDBoard& & & & 在Kubuntu12.04下安装ISE其实并不是非常麻烦,关键是使用Xilinx License Configuration Manager(xlcm)激活license非常麻烦,首先是Get free webpack license按钮不能链接到官方网页上,即鼠标点“connect now”没有反映,点“save”存储链接之后,弹出对话框让我选择存储路径,然后对话框就卡住没反应了,过一会就崩溃了。终端弹出如下信息:',
blogTag:'kde,qt_plugin_path,ise,usbjtag',
blogUrl:'blog/static/',
isPublished:1,
istop:false,
modifyTime:9,
publishTime:4,
permalink:'blog/static/',
commentCount:0,
mainCommentCount:0,
recommendCount:0,
bsrk:-100,
publisherId:0,
recomBlogHome:false,
currentRecomBlog:false,
attachmentsFileIds:[],
groupInfo:{},
friendstatus:'none',
followstatus:'unFollow',
pubSucc:'',
visitorProvince:'',
visitorCity:'',
visitorNewUser:false,
postAddInfo:{},
mset:'000',
remindgoodnightblog:false,
isBlackVisitor:false,
isShowYodaoAd:true,
hostIntro:'',
hmcon:'0',
selfRecomBlogCount:'0',
lofter_single:''
{list a as x}
{if x.moveFrom=='wap'}
{elseif x.moveFrom=='iphone'}
{elseif x.moveFrom=='android'}
{elseif x.moveFrom=='mobile'}
${a.selfIntro|escape}{if great260}${suplement}{/if}
{list a as x}
推荐过这篇日志的人:
{list a as x}
{if !!b&&b.length>0}
他们还推荐了:
{list b as y}
转载记录:
{list d as x}
{list a as x}
{list a as x}
{list a as x}
{list a as x}
{if x_index>4}{break}{/if}
${fn2(x.publishTime,'yyyy-MM-dd HH:mm:ss')}
{list a as x}
{if !!(blogDetail.preBlogPermalink)}
{if !!(blogDetail.nextBlogPermalink)}
{list a as x}
{if defined('newslist')&&newslist.length>0}
{list newslist as x}
{if x_index>7}{break}{/if}
{list a as x}
{var first_option =}
{list x.voteDetailList as voteToOption}
{if voteToOption==1}
{if first_option==false},{/if}&&“${b[voteToOption_index]}”&&
{if (x.role!="-1") },“我是${c[x.role]}”&&{/if}
&&&&&&&&${fn1(x.voteTime)}
{if x.userName==''}{/if}
网易公司版权所有&&
{list x.l as y}
{if defined('wl')}
{list wl as x}{/list}

我要回帖

更多关于 vivado2015.3 license 的文章

 

随机推荐